About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register View prices in  or ...    
 MENU OF PRODUCT CATEGORIES   View   Search-by-Specs   
View All Listings Under

ALL CATEGORIES


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group Listings into sub-categories under ALL CATEGORIESGroup Listings into sub-categories under ALL CATEGORIES

List all 1822 product types under ALL CATEGORIESList all 1822 product types under ALL CATEGORIES


  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
Displaying 150-249 of 404  Page  Show First Page Show Previous Page  Show Next Page Show Last Page
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
240475
ECEC  

ECEC  

Micron2 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

ECEC, Micron2, s/n:15-308, Assembly, Die Attach:

ECEC, Micron2, s/n:15-308, Assembly, Die Attach

1   Dresden, Saxony
242526
Edax  

Edax  

TEC. 12T/20T/20ST, 136-5 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

EDAX-EDS PV9761 / 70 :

30 mm^2 Detektorfläche

inkl. Steuerrechner

1   Regensburg, Bavaria
248130
EKRA  

EKRA  

Serio4000 

List all items of this typeScreen Printers

in Semiconductor / Hybrid Assembly Equipment

EKRA Serio 4000:
  • Equipment for automated stencil printing 
  • Designed for automated input and output
  • Printing format min 80x50mm, max 510x510
  • Not suitable for manual loading and unloading
1   Regensburg, Bavaria
239383
ENTEGRIS  

ENTEGRIS  

N/A 

List all items of this typeMaterials Handling Equipment - Other

in Materials Handling and Storage Equipment

Entegris, FOUP N2 Purge Station, 300mm:

Entegris, FOUP N2 Purge Station, 300mm

1   Dresden, Saxony
236878
ESEC3100 WIRE BONDER 
ESEC3100 WIRE BONDER 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

ESEC3100 WIRE BONDER:

GT line scrap,9 sets of ESEC3100 

9   Regensburg, Bavaria
210404
Suss MicroTec  

Suss MicroTec  

Falcon Polyimid Developer 

List all items of this typeStandard Photoresist DevTracks

in Photoresist Develop Track Systems

Fairchild Developer Ent3C:

Developer with 2 chambers for spray developing.

 

1   Villach, Carinthia
230317
FEI  

FEI  

ExSolve CLM next Gen 

List all items of this typeMicroscopes - Other

in Optical Microscopes

FEI, ExSolve CLM next Gen, 300mm, S/N 9923535:

FEI, ExSolve CLM next Gen, 300mm, S/N 9923535

1   Malta, New York
230318
FEI  

FEI  

ExSolve CLM next Gen 

List all items of this typeMicroscopes - Other

in Optical Microscopes

FEI, ExSolve CLM next Gen, 300mm, S/N 9923609:

FEI, ExSolve CLM next Gen, 300mm, S/N 9923609

1   Malta, New York
244283
FEI  

FEI  

Helios NanoLab 1200HP 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

FEI, Helios NanoLab 1200HP, sn: 9921505, Failure Analysis:

FEI, Helios NanoLab 1200HP, sn: 9921505, Failure Analysis

1   Malta, New York
244623
FICO AMS-11-MR1 FC-17 scrap 
FICO AMS-11-MR1 FC-17 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

FICO AMS-11-MR1 FC-17 scrap:

 

Cost CentreAssetSNo.Asset name
9F554100057380FICO AMS-11-MR MOLDING
9F554100057391FICO MOLD DIE
1   Regensburg, Bavaria
221096
BESI Holland  

BESI Holland  

MK-1 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

FICO, Auto Mold System (AMS 24) 2p, MK-1:

machine is Functioning

1 54,014.47 Batam, Riau Islands
239219
Film Extrusion Production Line 
Film Extrusion Production Line 

List all items of this typeProcess Plants

in Chemical Process Equipment

Film Extrusion Production Line:

Bids accepted through 12.31.2024

Decommissioned production line for film extrusion.

Located in Monroe, NC.

Equipment manufactured by Pyradia in 2003 and has <100,000 hrs.

Good condition. Decommissioned in 2010 and was in working order at the time.

 

1   Monroe, North Carolina
248907
Fischerscope  

Fischerscope  

X-ray XDV-u 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Fischerscope, X-ray XDV-u, sn: SN100003425:

Fischerscope, X-ray XDV-u, sn: SN100003425

1   East Fishkill, New York
248948
Webomatic  

Webomatic  

System-4000 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

1   N* Dresden, Saxony
244703
Nitto Denko  

Nitto Denko  

PFM5 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

FOLIERANLAGE NITTO DR - 8500 / PFM5:

foiling system

1   Villach, Carinthia
221743
Mitutoyo  

Mitutoyo  

QVT1-X606P1L-D 

List all items of this typeOptical Test & Measurement Equipment - Other

in Optical Test & Measurement Equipment

For Sale! Mitutoyo CNC Vision Measuring Machine :

In use until October 2020, when it was phased out from production. Since then, it's stored in a dry and heated environment. Besides minor marks and scratches caused by trolleys, it's in an excellent condition. It was maintained regularly by site maintenance, and went through yearly inspection by OEM, including calibration.

Standard machine, with special adapter plates used at Infineon. It's with software for series production, able to measure multiple modules in row. Saves date to local CSV file format and writing measurement data to Orbit system.,

Possibility to upgrade tactile measuring function!

1 59,859.46 Warstein, North Rhine-Westphalia
245290
FSI  

FSI  

Excalibur ISR 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094:

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094

1   Singapore
238161
Highland Tank  

Highland Tank  

 

List all items of this typeCarbon Steel Tanks

in Tanks

Fuel Oil Tank, 30,000 with containment dike:

Bids accepted through Dec 31, 2023.

30,000 gal horizontal fuel oil tank with containment dike.

carbon steel, with secondary containment 126" dike built in 2007

good condition

width 12'

depth 46'6" plus dike

height 161"

 Photos available on request.

1   Wilmington, Delaware
238163
Highland Tank  

Highland Tank  

 

List all items of this typeCarbon Steel Tanks

in Tanks

Fuel Oil Tank, 39,000 with containment dike:

Bids accepted through Dec 31, 2023.

39,000 gal horizontal fuel oil tank with containment dike.

carbon steel, with secondary containment 144" dike built in 2014

like new condition

width 13'

depth 46'2" plus dike

height 163"

 Photos available on request.

1   Wilmington, Delaware
240586
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Furnance:

Furnance will be sold without Reactor 2

Parts for sale:

WIP

Reactor 1 Poly

Cassetteheater

Robot Housing

Power Cabinet

 

1   Villach, Carinthia
231620
Bruce (BTI)  

Bruce (BTI)  

DSVA 24 

List all items of this typeHorizontal Diffusion Furnaces

in Diffusion Furnaces

Furnance BDF-41 Ofen 28B:

Diffusion Furnance for atmospheric oxid processes.

Tool is productive in use until 27.06.2022

1   Villach, Carinthia
233426
Genmark Automation  

Genmark Automation  

Porta300P 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Genmark, Porta300P, 2 loadports:

Genmark, Porta300P, 2 loadports

with some spare parts. See pdf for details.

1   Malta, New York
244705
Disco  

Disco  

DISCO9 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Grinder DFG850 Disco 9:

Fully automatic grinder

1   Vienna, Vienna
238965
Accretech  

Accretech  

PG200RM 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Grinder/Polisher:

2 of 4 Chucks are broken (vaacuum channels)

PC is repaired poorly

Spindle Z1 broken, Z2 is ok

Chuckcleaning is only partly functional

Robots 1 and 2 OK

Pump of the Vaccuum unit is broken

USV is broken

Tool was only used for polishing!

Tool will be sold with many spareparts

1   Villach, Carinthia
244953
THA Germany  

THA Germany  

THA 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ELECTRICAL THA_ET-03:

HANDLER_ELECTRICAL THA_ET-03

1   Regensburg, Bavaria
244894
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I01:

HANDLER_ISMECA NX32W_T-I01

1   Regensburg, Bavaria
244946
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I04:

HANDLER_ISMECA NX32W_T-I04

1   Regensburg, Bavaria
244947
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I05:

HANDLER_ISMECA NX32W_T-I05

1   Regensburg, Bavaria
244888
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I06:

HANDLER_ISMECA NX32W_T-I06

1   Regensburg, Bavaria
244893
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I07:

HANDLER_ISMECA NX32W_T-I07

1   Regensburg, Bavaria
244890
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I12:
HANDLER_ISMECA NX32W_T-I12
1   Regensburg, Bavaria
244952
THA Germany  

THA Germany  

THA 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_THA ELECTRICAL_ET-10:

HANDLER_THA ELECTRICAL_ET-10

1   Regensburg, Bavaria
244886
THA Germany  

THA Germany  

THA Handler 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_THA ELECTRICAL_ET-11:

HANDLER_THA ELECTRICAL_ET-11

1   Regensburg, Bavaria
202834
Kokusai  

Kokusai  

DJ-1236VN-DF 

List all items of this typeVertical LPCVD Furnaces

in LPCVD Furnaces

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm:

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm

1   Malta, New York
243313
Hitachi Kokusai Elec  

Hitachi Kokusai Elec  

DJ-1236VN-DF 

List all items of this typeVertical LPCVD Furnaces

in LPCVD Furnaces

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm, s/n: DN23300, FVX2488:

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm, FVX2488

Vertical LPCVD Furnace

1   Malta, New York
204280
Hitachi  

Hitachi  

M-8190XT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Hitachi, M-8190XT, 300mm, Plasma Etch:

Hitachi, M-8190XT, 300mm, Plasma Etch

3 Chambers

In Fab, Warm Idle

1   Malta, New York
237745
HMI  

HMI  

eScan 500 

List all items of this typeScanning Electron Microscopes

in Inspection Equipment

HMI eScan 500, sn: ML07114, Defect Review, 300mm:

HMI eScan 500, sn: ML07114, Defect Review, 300mm

1   Malta, New York
202816
HSEB  

HSEB  

Axiospect 301 

List all items of this typeWafer Inspection Microscopes

in Optical Microscopes

HSEB, Axiospect 301, Optical Microscope, 300mm:

HSEB, Axiospect 301, Optical Microscope, 300mm

Cold.  Not working parts include: 

  • Tango Controller (Microscope Stage controller
  • Joystick and keyboard controller
  • Micromotor for fingers edge gripper
  • few powers supplies

The tool was running with Windows XP professional 2002 service pack 3.

1   Malta, New York
202817
HSEB  

HSEB  

Axiospect 301 

List all items of this typeWafer Inspection Microscopes

in Optical Microscopes

HSEB, Axiospect 301, Optical Microscope, 300mm:

HSEB, Axiospect 301, Optical Microscope, 300mm

1   Malta, New York
244849
Siemens  

Siemens  

8BK80 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

10   Malacca, Malacca
238643
PVA TePla  

PVA TePla  

TWIN 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1   Villach, Carinthia
242276
Inliner Machine scrap 
Inliner Machine scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Inliner Machine scrap:

SOT23 2upless project already implemented from Aug’22 onwards. The capacity of this line were removed as well. Below EQs can be scrapped.

Cost CentreAssetSNo.Asset nameAsset name
 (PO information)
Serial no.Cap.dateAge of EQ Curr.acq. value
(PMB)
Curr.net book.value.
(PMB)
9F373100066060Inliner Machine8500018761;transfer from IFMY;  6JM/MAL11-073696IL-172011/12/2711.63,241,431.510.00
9F373100066061freight: Inliner Machine8500018761;transfer from IFMY;  6JM/MAL11-073696IL-172011/12/2711.60.010.00
1   Regensburg, Bavaria
244317
Innolas GmbH  

Innolas GmbH  

C3000DPS 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Innolas Wafermarker:

Wafermarker C3000DPS

 

Marking for 300mm Wafers

1 32,650.62 Villach, Carinthia
240478
Instron  

Instron  

5564 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

INSTRON, 5564, s/n: 5564J2710, Pull Tester:

INSTRON, 5564, s/n: 5564J2710, Pull Tester

1   Dresden, Saxony
248937
Kardex  

Kardex  

Shuttle XP 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Kardex Shuttle Xp:

2 pieces Kardex Shuttle XP

1   N* Villach, Carinthia
248938
Kardex  

Kardex  

Shuttle XP 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Kardex Shuttle Xp:

Kardex Shuttle XP with  many Trays

1   N* Villach, Carinthia
236360
Keithley  

Keithley  

S425 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S425, Kerf Parametric Tester, s/n: QMO2591:

KEITHLEY, S425, Kerf Parametric Tester, s/n: QMO2591

1   Burlington, Vermont
236359
Keithley  

Keithley  

S425 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S425, Kerf Parametric Tester, s/n: QMO2593:

KEITHLEY, S425, Kerf Parametric Tester, s/n: QMO2593

1   Burlington, Vermont
192419
Keithley Instruments  

Keithley Instruments  

S425 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

Keithley, S425, Kerf Test, S/N QMO2572:

Keithley, S425, Kerf Test, S/N QMO2572

Keithley standard matrix kerf tester

1   Burlington, Vermont
192418
Keithley Instruments  

Keithley Instruments  

S425 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

Keithley, S450, Kerf Parametric Tester, S/N: QMO2573:

Keithley, S450, Kerf Parametric Tester, S/N: QMO2573

1   Burlington, Vermont
238974
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2593:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2593

1   Burlington, Vermont
238977
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2733:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2733

1   Burlington, Vermont
238976
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2734:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2734

1   Burlington, Vermont
238975
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2738:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2738

1   Burlington, Vermont
238973
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2792:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2792

1   Burlington, Vermont
238978
Keithley  

Keithley  

S600 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S600, 25 pin kerf tester, s/n: QMO4104:

KEITHLEY, S600, 25 pin kerf tester, s/n: QMO4104

1   Burlington, Vermont
242655
Keithley  

Keithley  

S630 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S630, 200mm, Parametric Test System, s/n: QM04103:

KEITHLEY, S630, 200mm, Parametric Test System, s/n: QM04103

1   Burlington, Vermont
242614
Keithley  

Keithley  

S630 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S630, 200mm, Parametric Test System, s/n: QMO4105:

KEITHLEY, S630, Parametric Test System, s/n: QMO4105

1   Burlington, Vermont
242615
Keithley  

Keithley  

S630 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S630, 200mm, Parametric Test System, s/n: QMO4106:

KEITHLEY, S630, Parametric Test System, s/n: QMO4106

1   Burlington, Vermont
221102
BESI  

BESI  

X-Eye SF160 SL 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

KETECA, X-Eye SF160 SL, A-SFS16FDAL170N2D735, XRAY:

Function , needed motor vacuum to up this machine

1 22,806.11 Batam, Riau Islands
237748
KLA-Tencor  

KLA-Tencor  

AMI2900 

List all items of this typeWafer Inspection Microscopes

in Optical Microscopes

KLA AMI2900, sn: V000283, 300mm:

KLA AMI2900, sn: V000283, 300mm

KLA Advanced Macro Inspection Module

 

1   Malta, New York
242857
KLA  

KLA  

eS32 

List all items of this typeTest & Measurement - Other

in Test & Measurement Equipment

KLA eS32 E-beam Wafer Inspection 200mm:

eS32 is a top-of-the-line mask and wafer inspection equipment that is designed to meet the most stringent quality standards for semiconductor product manufacturing. This system provides comprehensive, high-resolution inspection of both masks and wafers with unparalleled accuracy. The unit uses a proprietary optical probe to scan masks and wafers to detect defects and irregularities with a resolution reaching down to 1 micron. This high-precision scanning allows for comprehensive inspection of the entire surface of both the mask and wafer. The machine also includes powerful image processing and analysis algorithms which automatically detect defects, categorize them, and track their locations. KLA eS32 also includes a suite of automated defect correction tools which can rapidly repair standard and complex defects. In addition to its exhaustive defect detection capabilities, this tool also allows for statistical process control (SPC) analysis to ensure production processes maintain consistent quality and accuracy over time. TENCOR ES 32 also includes a user-friendly interface that makes it easy to operate and manage the asset. This user interface is highly customizable, allowing users to quickly change model settings, view detailed inspection reports, and receive real-time notifications of detected defects. In summary, KLA ES 32 is a high-performance mask and wafer inspection equipment that offers superior detection accuracy, automated defect correction, comprehensive statistical process control (SPC) analysis, and an easy-to-use user interface. This system can be used to monitor production lines, resulting in improved manufacturing quality, increased yield, and cost savings.

1   Austin, Texas
248207
KLA  

KLA  

QTX-300 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

KLA, QTX-300, 300mm, S/N 1006304891:

KLA, QTX-300, 300mm, S/N 1006304891

1   Singapore
247698
Lab Freezer 
Lab Freezer 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Lab Freezer:

Located in Midland, MI

Ultra Low Freezer Fisher UXF50086D

Ultra Low temperature freezer, great for storing samples.

208/230 Volts,

HOURS OF OPERATION: 7:00am - 5:30pm EST, Mon - Thurs

Contact: David Callahan Office: (989) 633-0985 email: dcallahan@dow.com

Sold As-Is, Where-Is

Bidding Closes on Dow’s acceptance of a qualifying bid

Sealed Bidding starts at $300

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: This lot will be loaded onto buyer's truck free of charge. Buyer is responsible for securing the load and logistics

1   Midland, Michigan
239542
P & P TECH SDN BHD  

P & P TECH SDN BHD  

Lab Handler 

List all items of this typeAutomatic Test Equipment - Other

in Automatic Test Equipment

1   Regensburg, Bavaria
241409
Thermo Scientific  

Thermo Scientific  

FT 6060 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Labor-Frischluft-Wärmeschrank Typ FT6060 (2020):

Laboratory ovens, fresh-air with explosion protected chambers, FT 6060 (2020)

Extras:

- airflow breaker

- exhaust air fan

- fresh air filter

Heat treatment processes in which combustible solvents are released can produce potentially explosive mixtures when they come into contact with air. FT 6060 ovens prevent the build up of hazardous conditions during heat treatment by passing air through the chamber. Designed for use in electrical engineering, chemical, pharmaceutical and paint industries, for the heat treatment of finished or semi finished products.

 

  • Can be used with unrestricted quantities of solvent, the FT 6060 offers a high degree of safety for routine operations
  • Designed for use where combustible solvents of temperature classes T1, T2, T3 and T4 as well as explosion classes IIA and IIB are used or released in unknown quantities
  • Straightforward arrangement of the control elements in the switching unit enables safe and easy operation
  • Continuous current of fresh air flows through the cabinet so the released solvent vapours are carried away immediately
  • Microprocessor temperature controller, independent upper temperature limit protection, visual error signal and adjustable door

The system is unused and in original packing.

If there is a seriously buying interest, an extended selection of technical specifications (only german language) could be send.

1   Warstein, North Rhine-Westphalia
239809
LAM Research Corp.  

LAM Research Corp.  

2300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM 2300 Mainframe:

›1 x AC Rack

›1 x Atmospheric transfer module

›1 x Vacuum transfer module

›3 x Loadports (Ergo 200mm)

1   Villach, Carinthia
219677
LAM Research Corp.  

LAM Research Corp.  

INOVA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, INOVA, 300mm, S/N 007823-0389:

LAM, INOVA, 300mm, S/N 007823-0389

1   Malta, New York
245286
LAM Research Corp.  

LAM Research Corp.  

Rainbow 4428 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, Rainbow 4428, 200mm, S/N 3533 :

LAM, Rainbow 4428, 200mm, S/N 3533 

1   Singapore
245285
LAM Research Corp.  

LAM Research Corp.  

TCP9408SE 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, TCP9408SE, 200mm, S/N 4545:

LAM, TCP9408SE, 200mm, S/N 4545

1   Singapore
219675
LAM Research Corp.  

LAM Research Corp.  

Vector Express 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

LAM, Vector Express, 300mm, S/N D22437A, Ashable Hard Mask CVD:

LAM, Vector Express, 300mm, S/N D22437A, Ashable Hard Mask CVD

1   Malta, New York
244197
Hanmi Semiconductor  

Hanmi Semiconductor  

Laser Cleaning 1.0 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

LASER CLEANING_HANMI LASER CLEANING 1.0_HLC001:

LASER CLEANING_HANMI LASER CLEANING 1.0_HLC001

1   Regensburg, Bavaria
244796
EO Technics  

EO Technics  

BSM363G 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

LASER MARK_EO TECHNICS BSM363_LM04:

LASER MARK_EO TECHNICS BSM363_LM04

1   Regensburg, Bavaria
242433
Keteca  

Keteca  

Keteca PP200 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

LASER MARK_P&P KETECA PP200_LM08:
LASER MARK_P&P KETECA PP200_LM08
1   Regensburg, Bavaria
238364
Trumpf  

Trumpf  

HL 101 P 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Laser-Silicon-Cutting System with CNC-cutting table and cabin:

Complete Silicon cutting system with laser source, CNC-cutting-table and safety cabine. The system was in use until February 2023 and is currently placed into stock.

1   Warstein, North Rhine-Westphalia
238310
Trumpf  

Trumpf  

HL101P 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Laser-Silicon-Cutting System with CNC-Table and Cabin:

Complete Silicon cutting system with Laser source, cutting table and safety cabine. The system is still in use until March 2023.

1   Warstein, North Rhine-Westphalia
238362
Trumpf  

Trumpf  

HL 101 P 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Laser-Silicon-Cutting System with cutting table and cabin:

Complete Silicon cutting system with Laser source, cutting table and safety cabine. The system is still in use until March 2023. Therefore there is the possibility for a sale on inspection.

1   Warstein, North Rhine-Westphalia
237802
Trumpf  

Trumpf  

HL 101 P 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Laser-Silicon-Cutting System with Cuttingtable and Cabin:

Complete Silicon cutting system with Laser source, cutting table and safety cabine. The system was in use until March 2023 and is currently placed into stock.

1   Warstein, North Rhine-Westphalia
236970
Advantest  

Advantest  

V93000 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

LD96, Advantest, V93000, s/n PL000396, Logic and Memory Functional Tester:

LD96

Advantest, V93000, s/n PL000396, Logic and Memory Functional Tester

1   East Fishkill, New York
241155
Lintec  

Lintec  

RAD-2500M/8 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Lintec Corp. RAD-2500M/8, 200mm, s/n: D1S-2575-AW:

Lintec Corp. RAD-2500M/8, 200mm, s/n: D1S-2575-AW

1   Dresden, Saxony
205912
Matrix Corp  

Matrix Corp  

Matrix X3 

List all items of this typeMetrology Equipment - Other

in Metrology Equipment

Matrix X3 X-Ray System:

high speed X-Ray system 

1   Regensburg, Bavaria
247204
Mattson Technology  

Mattson Technology  

AST 2800 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

Mattson, AST 2800, 200mm, S/N 97060361:

Mattson, AST 2800, 200mm, S/N 97060361

1   Singapore
241157
Mattison  

Mattison  

MILLIOS HVM 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Mattson, MILLIOS HVM, 300mm, s/n: 11043001, 09130001, 09250001:

Mattson, MILLIOS HVM, 300mm, s/n: 11043001, 09130001, 09250001

1   Malta, New York
224568
Mechatronic 300mm Sorter 
Mechatronic 300mm Sorter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Mechatronic 300mm Sorter:

Sort-05

Mechatronic Sorter for 200 and 300mm

With bernoulli Aligner for 8 and 12 inch and IOSS Camera.

And greenlight inspection.

With 4 Synfoniy 300mm Loadports, 2 on the front side and 2 on the backside.

1   Villach, Carinthia
242031
Mechatronics Systemt  

Mechatronics Systemt  

TWS 150/200 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Mechatronics TWS 150/200:

no damages, but EOL tool

complete

last time in production: 27.07.2023 CM Sorter 8"TWS150/200

technical data: 

Maße
Höhe 2450/B1750/T1600mm
Gewicht
980kg
Anschluss
230V-L1-N-PE
Strom
16A
Sicherung
C16A

 

1   Regensburg, Bavaria
243275
Metler Toledo  

Metler Toledo  

05-057 

List all items of this typeMaterials Handling Equipment - Other

in Materials Handling and Storage Equipment

Metler Toledo Model 05-057 Weight Scale:

Bids accepted through Oct 25, 2023.

Metler Toledo Weight Scale Model 05-057

working fair condition

1   Hayward, California
245141
Hitachi  

Hitachi  

REM-S 9380-2 

List all items of this typeWafer Manufacturing Metrology Equipment - Other

in Wafer Manufacturing Metrology Equipment

METV34-01 (REM-S 9380-2):

Microscope for Critical Dimension

1   Villach, Carinthia
248140
Misc. Item Sale 
Misc. Item Sale 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Misc. Item Sale:

Misc. Item Sale:

Material List is described in the "extended description" spreadsheet below.

Location: Hayward, CA

INTERNAL DOW STAFF

Items may be redeployed to your facility by choosing from the available list attached below. Please contact Nathanael Neil at nneil@dow.com

PUBLIC BUYERS

Internal users request for material will be given priority after which bids from members of the public will be considered in the order they were received. 

Sold “As Is-Where Is” with no warranties or liabilities. Dow recommends viewing to make your own determination of condition.

Bidding closes on Dow’s acceptance of a qualifying bid

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: Buyer is responsible for loading, securing the load, and logistics.

1   Hayward, California
235096
Plastifer  

Plastifer  

VSB25 

List all items of this typeBlowers - Other

in Blowers

Motor + Soplante:

Motor + soplante

Marca Pastifer tipo VSB25

Carcasa de Polipropileno

0,55kw 4 polos

1   Tarragona, Catalonia
238960
Rudolph Technologies  

Rudolph Technologies  

MPC 200XCu 

List all items of this typeFilm Thickness Testers - Other

in Film Thickness Testers

MP200:

Rudolph MetaPulse 200

non copper / double delay stage with 5" Chuck. 

2 Loadports for 6/8 inch

Laser is broken!

1   Villach, Carinthia
244540
Rudolph Technologies  

Rudolph Technologies  

MP200 

List all items of this typeFilm Thickness Testers - Other

in Film Thickness Testers

MP200 double path tool :

non copper tool; double path tool delay stage; 6 inch chuck

1   Villach, Carinthia
235091
ISCO  

ISCO  

3700 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Muestreador Aut.Model 923-High Capacity Power Pack - Isco 3700:

Muestreador de Aguas Residuales - Isco 3700 -

Modelo 923

Alta Capacidad  (High Capacity Power Pack)

1   Tarragona, Catalonia
238644
Datacon  

Datacon  

2200 apm 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Multi-Chip Die Bonder:

Operations and Maintenance Manuals available.

1   Villach, Carinthia
207180
Nanofocus µsprint 3d inspection system with Baumann Handler 
Nanofocus µsprint 3d inspection system with Baumann Handler 

List all items of this typeOptical Inspection - Other

in Optical Inspection Equipment

1   Regensburg, Bavaria
241510
NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm 
NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm 

List all items of this typeOther Items

in Microscopes

NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm:

NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm

1   Malta, New York
249043
Nexx Systems  

Nexx Systems  

STRATUS S300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

NEXX STRATUS S300, 300mm, s/n: S00000131:

PLT03 NEXX STRATUS S300-FX ELECTROPLATING TOOL with ANCOSYS AUTOMATED ANALYSIS AND DOSING Unit

1   East Fishkill, New York
249044
Nexx Systems  

Nexx Systems  

APOLLO HP 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

NEXX APOLLO HP, 300mm, s/n: 379:

TEL NEXX APOLLO HP PVD SYSTEM SPT03

1   East Fishkill, New York
241914
Nikon  

Nikon  

MM-200 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Nikon Mikroskop MM-200 / Kamerasteuergerät DS-L2 / Kamerakopf DS-xx:

Nikon Mikroskop MM-200 / Kamerasteuergerät DS-L2 / Kamerakopf DS-xx (2010)

 

Complete Nikon microscope-system. The system is still in use, therefor there is the possibility for a sale on inspection.

 

You can see the components on the pictures attached, there are also user manuals available (as paper books).

1   Warstein, North Rhine-Westphalia
248320
Nikon  

Nikon  

NSR-2205EX14C 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Nikon, NSR-2205EX14C, 200mm, S/N 7573120:

Nikon, NSR-2205EX14C, 200mm, S/N 7573120

1   Singapore
245214
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Nikon, NSR-S208D, 300mm, S/N 8732041:

Nikon, NSR-S208D, 300mm, S/N 8732041

1   Singapore
Displaying 150-249 of 404  Page  Show First Page Show Previous Page  Show Next Page Show Last Page


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.

Items from the following manufacturers are offered under ALL CATEGORIES:
TEXUS CO., LTD., ABB, Accretech, Advanced Thermal Sciences, Advantest, AMAT, AP&S Customized Solutions, Applied Materials Inc., Applied Materials, Inc., ASM, ASYS, ATV Technologie GmbH, Axcelis Technologies GmbH, BESI, Brooks, Bruce (BTI), CFM Technologies, CSK, Datacon, Disco, DISCO CORPORATION, Ebara, EDA Industries (Asia, EKRA, ENTEGRIS, EO Technics, ESSEM TEC, FEI, Hall Tank Co. , Hanmi Semiconductor, Highland Tank, Hitachi, Hitachi Kokusai Elec, HSEB, Innolas GmbH, ISCO, Ismeca, Kardex, Keithley, Keithley Instruments, KLA, KLA Tencor, Kokusai, Kufner, LAM Research Corp., Lintec, Matrix Corp, Mattison, Mattson Technology, Metler Toledo, Mitutoyo, Multitest , Nexx Systems, NGK FILTECH, Nikon, Nikon, Nissan Motor Company, Ltd., Nitto, Nitto Denko, Nordson, Nova, Novellus Systems, Olympus, Orbotech, Other, Oxford Instruments, Prometrix, Prominent, PVA TePla, Ramgraber, Rorze, Rudolph Research, Rudolph Technologies, Inc., Sartorius, Semi-Tool, Semitool, Shinkawa, Siemens, SOLVISION, Sonix, Speedline Technologies, Suki Technology Sdn., Suss MicroTec, TAMCO, Tel, Tencor, Tennant, Teradyne, THA Germany, Thermo Scientific, Tokyo Electron Limited, Tokyo Electronics Limited, Toyota, Trane, Trumpf, Unaxis, Vestil, Webomatic, Yale, Zeiss