About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register View prices in  or ...    
ALL CATEGORIES   View   Search-by-Specs   
View All Listings Under

Semiconductor Manufacturing Equipment


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group Listings into sub-categories under Semiconductor Manufacturing EquipmentGroup Listings into sub-categories under Semiconductor Manufacturing Equipment

List all 665 product types under Semiconductor Manufacturing EquipmentList all 665 product types under Semiconductor Manufacturing Equipment


  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
Displaying 201-300 of 321  Page  Show First Page Show Previous Page  Show Next Page
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
244797
FICO,NETHERLANDS.  

FICO,NETHERLANDS.  

FICO AMSi-204 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

MOLD_BESI FICO AMS-I90-204_MP77:

MOLD_BESI FICO AMS-I90-204_MP77

1   Regensburg, Bavaria
235096
Plastifer  

Plastifer  

VSB25 

List all items of this typeBlowers - Other

in Blowers

Motor + Soplante:

Motor + soplante

Marca Pastifer tipo VSB25

Carcasa de Polipropileno

0,55kw 4 polos

1   Tarragona, Catalonia
238960
Rudolph Technologies  

Rudolph Technologies  

MPC 200XCu 

List all items of this typeFilm Thickness Testers - Other

in Film Thickness Testers

MP200:

Rudolph MetaPulse 200

non copper / double delay stage with 5" Chuck. 

2 Loadports for 6/8 inch

Laser is broken!

1   Villach, Carinthia
244540
Rudolph Technologies  

Rudolph Technologies  

MP200 

List all items of this typeFilm Thickness Testers - Other

in Film Thickness Testers

MP200 double path tool :

non copper tool; double path tool delay stage; 6 inch chuck

1   Villach, Carinthia
238644
Datacon  

Datacon  

2200 apm 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Multi-Chip Die Bonder:

Operations and Maintenance Manuals available.

1   Villach, Carinthia
241510
NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm 
NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm 

List all items of this typeOther Items

in Microscopes

NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm:

NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm

1   Malta, New York
248320
Nikon  

Nikon  

NSR-2205EX14C 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Nikon, NSR-2205EX14C, 200mm, S/N 7573120:

Nikon, NSR-2205EX14C, 200mm, S/N 7573120

1   Singapore
245214
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Nikon, NSR-S208D, 300mm, S/N 8732041:

Nikon, NSR-S208D, 300mm, S/N 8732041

1   Singapore
247027
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

NIKON, NSR-S208D, 300mm, s/n: 8732048:

NIKON, NSR-S208D, 300mm, s/n: 8732048

Lithography Step and repeat scanning system

1   Dresden, Saxony
247605
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

NIKON, NSR-S208D, 300mm, s/n: S62 0290202:

Lithography Step and repeat scanning system

1   Dresden, Saxony
246489
Nitto Denko  

Nitto Denko  

HR8500-II 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Nitto Detaper :

Nitto Detaper HR8500-II

1   Regensburg, Bavaria
244701
Nitto Denko  

Nitto Denko  

PFP3 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

NITTO HR - 8500 / PFP3:
Delaminator
1   Villach, Carinthia
246546
Nitto Denko  

Nitto Denko  

DR8500-II 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Nitto Taper DR8500-II:

Nitto Taper DR8500-II

1   Regensburg, Bavaria
240450
NITTO Wafer Laminator 2018 
NITTO Wafer Laminator 2018 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

NITTO Wafer Laminator 2018:

NITTO Wafer Laminator 2018

Nel System

Type: DR8500iii

1   Regensburg, Bavaria
223074
Novellus Systems  

Novellus Systems  

Concept Two SPEED 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Novellus Concept Two SPEED (shrink):

1 Mainframe w/ 3 Chambers 

Damages/Deficites: Chamber Turbo Pumps & HF/LF Generators 
EOL: Turbo Pumps

 

no significant failures between last 3 years

1   Dresden, Saxony
239647
Novellus Systems  

Novellus Systems  

INOVA NEXT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

NOVELLUS, INOVA NEXT, s/n M23321A, 300mm:

NOVELLUS, INOVA NEXT, s/n M23321A, 300mm

1   Malta, New York
192280
Over Head Transport System (OHT) SRC320 
Over Head Transport System (OHT) SRC320 

List all items of this typeSemiconductor Facilities Equipment - Other

in Semiconductor Manufacturing Facilities Equipment

Over Head Transport System (OHT) SRC320:



A high quantity of SRC 320 parts.

  • SRC320 Vehicles (~50 pc.)
  • SRC320 SCPS-ZCU
  • SRC320 ICC
  • SRC320 PDU
  • SRC320 Rail parts
  • Foup Handler Stocker

 

 

Please get in touch with the Equipment Trade Category Manager to get more information or price lists.

 

1   Dresden, Saxony
244285
Oxford Instruments  

Oxford Instruments  

OPAL 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

OXFORD INSTRUMENTS, OPAL, sn: 94-220255, RF/Plasma oxide deposition tool:

OXFORD INSTRUMENTS, OPAL, sn: 94-220255, RF/Plasma oxide deposition tool

1   Malta, New York
242656
Applied Materials  

Applied Materials  

P5000 

List all items of this typeCluster PECVD Tools

in Production Tools

P5000:
CHAMBER A Universal CVDTeos
CHAMBER B Universal CVDTeos
CHAMBER CMark2Etch
CHAMBER DMark2Etch

The Tool is sold with 4 chambers and was productiv until August 2023

1   Villach, Carinthia
242682
Applied Materials  

Applied Materials  

P5000 

List all items of this typeCluster PECVD Tools

in Production Tools

P5000:
CHAMBER A x Universal CVDTeos
CHAMBER B x Universal CVDTeos
CHAMBER Dx Universal CVDTeos

Tool is sold with three chambers and was used in cleanroom until August 2023

1   Villach, Carinthia
238827
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

P5000:

From Chamber B following Parts are missing:

  1. RF Generator
  2. RF Match
  3. Baratron
  4. Turbo/Controller

Chamber B,C,D are universal CVD etch chambers.

1   Villach, Carinthia
240853
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

P5000:

4x Standard SNIT Chambers

1   Villach, Carinthia
242852
KVA GmbH  

KVA GmbH  

automatisierte Si-Pellets Ätzbank 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Pellet Edge Batch Etch Tool:

Automtic dip-etch bench (2014)

 

Complete dip acid etching unit manufactured by KVA, Austria. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing semiconductor devices (Diode, Thyristor)

up to 58 mm, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
246288
Suki Technology Sdn.  

Suki Technology Sdn.  

 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

POST MOLD INSPECTION_SUKI TECH_PMI001:

POST MOLD INSPECTION_SUKI TECH_PMI001

1   Regensburg, Bavaria
246289
Suki Technology Sdn.  

Suki Technology Sdn.  

 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

POST MOLD INSPECTION_SUKI TECH_PMI002:

POST MOLD INSPECTION_SUKI TECH_PMI002

1   Regensburg, Bavaria
246290
Suki Technology Sdn.  

Suki Technology Sdn.  

 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

POST MOLD INSPECTION_SUKI TECH_PMI003:

POST MOLD INSPECTION_SUKI TECH_PMI003

1   Regensburg, Bavaria
236077
Prominent  

Prominent  

BPV-UDN10 PVT 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE BPV-UDN10 PVT 0.5-10 bar ProMinent Sigma:

PRESSURE SAFETY VALVES

BPV-UDN10 PVT

0.5-10 bar

ProMinent Sigma

2   Tarragona, Catalonia
236075
Prominent  

Prominent  

BPV-UDN25 PPE 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE BPV-UDN25 PPE 1-10 bar ProMinent Sigma:

PRESSURE SAFETY VALVE

BPV-UDN25 PPE

1-10 bar

ProMinent Sigma

5   Tarragona, Catalonia
236076
Prominent  

Prominent  

BPV-UDN25 PVT 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE BPV-UDN25 PVT 0.5-10 bar ProMinent Sigma:

PRESSURE SAFETY VALVE

BPV-UDN25 PVT

0.5-10 bar

ProMinent Sigma

2   Tarragona, Catalonia
236078
Stübbe  

Stübbe  

DHV-712-R  

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE DHV-712-R 0.3-10 bar STUBBE:

PRESSURE SAFETY VALVE

DHV-712-R 

0.3-10 bar

STUBBE

2   Tarragona, Catalonia
236074
Prominent  

Prominent  

DHV-S-DL-D6-12-PPH 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE DHV-S-DL-D6-12-PPH 1-10 bar ProMinent Sigma:

PRESSURE SAFETY VALVES

DHV-S-DL-D6-12-PPH

1-10 bar

ProMinent Sigma

7   Tarragona, Catalonia
239644
Ramgraber  

Ramgraber  

SST 

List all items of this typeSolvent Wet Stations

in Wet Processing Equipment

Ramgraber SST:

Used Configuration:

Tank 1: EKC

Tank 2: P1331

Tank 3 and 4: DMF

Tank 5: IPA

Known errors:

Filter from tank 4 is leaking

Heater 1 from tank 4 is broken

Heater 3 from tank 4 is broken

1   F* Villach, Carinthia
244510
ESSEM TEC  

ESSEM TEC  

Convection Reflow Oven 

List all items of this typeBurn-In Ovens

in Ovens

REFLOW OVEN soldering station_essemtec RO06:

REFLOW OVEN  soldering station

1 16,523.07 Malacca, Malacca
233939
BTU  

BTU  

PY98N2 

List all items of this typeBurn-In Ovens

in Ovens

REFLOW OVEN_PY98N2:

REFLOW OVEN_PY98N2

1   F* Malacca, Malacca
248205
RICOR  

RICOR  

FOUP Purge Station MK-2 

List all items of this typeClean Room Equipment - Other

in Clean Room Equipment

RICOR, FOUP Purge Station MK-2, 300mm, S/N 101919:

RICOR, FOUP Purge Station MK-2, 300mm, S/N 101919

1   Singapore
244853
TAMCO  

TAMCO  

GR1 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

1   Malacca, Malacca
239567
TAMCO  

TAMCO  

GR1 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

RMU:

RMU TAMCO

1   Malacca, Malacca
239568
RMU 
RMU 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

RMU:

RMU TRANSFORMER 66

1   Malacca, Malacca
204935
Rorze  

Rorze  

RSR160 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Rorze, RSR160, Reticle Handler:

Rorze, RSR160, Reticle Handler

 

1   Malta, New York
245221
Tencor  

Tencor  

RS75-01 

List all items of this typeWafer Manufacturing Metrology Equipment - Other

in Wafer Manufacturing Metrology Equipment

RS75-01:

wafer sheet resistance measurement system, Tool in production

1   Villach, Carinthia
245895
RVSI, ws3500/3800 upgrade, s/n: ws-3015, 32a4qa 
RVSI, ws3500/3800 upgrade, s/n: ws-3015, 32a4qa 

List all items of this typeOther Items

in Microscopes

RVSI, ws3500/3800 upgrade, s/n: ws-3015, 32a4qa:

RVSI, ws3500/3800 upgrade, s/n: ws-3015, 32a4qa

RVSI VISUAL DEFECT SCANNER

1   Burlington, Vermont
245894
RVSI, ws3500/3800 upgrade, s/n: ws-3016, 32a4ra 
RVSI, ws3500/3800 upgrade, s/n: ws-3016, 32a4ra 

List all items of this typeOther Items

in Microscopes

RVSI, ws3500/3800 upgrade, s/n: ws-3016, 32a4ra:

RVSI, ws3500/3800 upgrade, s/n: ws-3016, 32a4ra

inspection scanner

1   Burlington, Vermont
235316
Scarp VOSTCH Salt Spray Chamber VSC450 
Scarp VOSTCH Salt Spray Chamber VSC450 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Scarp VOSTCH Salt Spray Chamber VSC450:

Not functioning

1   Regensburg, Bavaria
216407
Schmid  

Schmid  

Combi Line 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

1 53,197.25 Regensburg, Bavaria
239375
Scrap 1x ??? NX-7001 
Scrap 1x ??? NX-7001 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Scrap 1x ??? NX-7001:
AssetSNo.Asset name
100076090??? NX-7001

withdraw parts from scrapped machine, currently worn out

1   Regensburg, Bavaria
235315
Scrap 3x mini buffers (10011500,10011501,10011502) 
Scrap 3x mini buffers (10011500,10011501,10011502) 

List all items of this typeAssembly / Hybrid - Other

in Semiconductor / Hybrid Assembly Equipment

Scrap 3x mini buffers (10011500,10011501,10011502):

These 3 mini buffers (10011500,10011501,10011502) will be scrap because

1: linked machines were already scrapped 

2: function isn't complete

3   F* Regensburg, Bavaria
245537
scrap 4x inker machine 
scrap 4x inker machine 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

scrap 4x inker machine:

Die Sorter Machine Release to instead inker machine, scrap 4x  ink-02/07/08/09.

AssetSNo.Asset name
100067480Wafer Inker Machine
100067481freight: Wafer Inker Machine
100080030Wafer Inker Machine
100080031?????
100088810Inker/2001X
100088811freight
100088812?????
100088820Inker/2001CX
100088821freight
4   Regensburg, Bavaria
243278
EKRA  

EKRA  

XM 

List all items of this typeScreen Printers

in Semiconductor / Hybrid Assembly Equipment

Screen & Stencil Printer Ekra (Asys Group):

The XM is a manual screen and stencil printer
dedicated to small batch size production and
prototyping purposes. The sideways guided squeegee
traverse and the adjustable squeegee pressure
ensure a constant, high quality print. Simple
operation and short set-up times all belong to the
application oriented concept.
Stiff as well as flexible materials such as PCBs,
glass, ceramic or metal up to a thickness of 30 mm
can be printed. Due to these characteristics the
XM print system is the ideal application for small
batch series and for prototyping.

1   Regensburg, Bavaria
218857
Rapiscan Systems  

Rapiscan Systems  

620XR-W 

List all items of this typeSemiconductor Facilities Equipment - Other

in Semiconductor Manufacturing Facilities Equipment

Security X-ray scanning machine:
Rapiscan® Systems Hand luggage X-ray solutions for the most difficult baggage and parcel inspection.
Security solutions for automated detection for higher throughput at checkpoints. Machine come with automated short conveyor. 
1   Kulim, Kedah
248147
Semi-Tool  

Semi-Tool  

 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Semitool Raider:

- Tool is not fully functional and not in the original condition

- Tool can be used as donor tool only

- No pictures available but tool inspection is possible

1   N* Regensburg, Bavaria
240589
Semitool  

Semitool  

see attached type lable 

List all items of this typeSpin Rinse Dryers

in Plate Cleaners

Semitool Spin Rinser Dryer (2010):

Single Spin Rinser Dryer as tabletop unit (s. attached picture). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories possible (p.e. wafercarriers and adapters)

1   Warstein, North Rhine-Westphalia
247677
SEW Electric Motor with Gearbox 
SEW Electric Motor with Gearbox 

List all items of this typeElectric Motors - Other

in Other Motors

SEW Electric Motor with Gearbox:

Motor                                     

Type: DRE225S4                  

Volts:230/460      HP: 50    HZ: 60

RPM: 1775

Gearbox

Type: RX107DRE225S4

In RPM: 1775                        Out RPM: 772

Torque: 4071lb-in               Ratio: 2.30

Condition: (sold “AS IS” with no warranties or liabilities). Dow recommends viewing to make your own determination of condition.

Note: State of Texas Sales Tax will be applied to the Winning Bid, unless a valid State of Texas Sales Exemption is provided.

For viewing Contact Steven Helm between the hours of 6:30 am – 4:00 pm, Mon – Thurs @

979-238-0923 or Email: SDHelm@dow.com to set up an appointment. Additional pics on request

Bidding closes on the acceptance of a qualifying bid.

LOAD OUT: LOADED ON BUYERS TRANSPORT FREE OF CHARGE

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

1 lot   Freeport, Texas
246568
Ramgraber  

Ramgraber  

see attached type lable 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Single-Wafer Spin Etch machine (2008):

Complete single-wafer spin etching unit manufactured by Ramgraber Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

1   Warstein, North Rhine-Westphalia
240477
SOLVISION  

SOLVISION  

PRECIS 3D 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

SOLVISION, PRECIS 3D, s/n: C1W010150609:

SOLVISION, PRECIS 3D, s/n: C1W010150609

1   F* Dresden, Saxony
240456
Sonix  

Sonix  

Quantum 350 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

SONIX, Quantum 350, s/n: Q350C018-0103M, analysis, reliability, investigation:

SONIX, Quantum 350, s/n: Q350C018-0103M, analysis, reliability, investigation

1   Dresden, Saxony
242030
SPA wafer inspection scrap 
SPA wafer inspection scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

SPA wafer inspection scrap:

transfer from OP1 and finally no need this EQ

Cost CentreAssetSNo.Asset nameAsset name
 (PO information)
Serial no.Cap.dateTodayAge of EQ Curr.acq. value
(PMB)
Curr.net book.value.
(PMB)
Asset Location
3041-9S3303041106013550SPA wafer inspection8500131291;23560646;IC FA transfer from 59032014-012022/6/292023-07-0510.02,428,702.440.00EASY LINE
1   Regensburg, Bavaria
211901
Speedline Tech  

Speedline Tech  

8000-1 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Speedline Camalot 8000-1 Solder Dispenser:

Speedline Camalot 8000-1 Solder Dispenser

1   Regensburg, Bavaria
241408
Ramgraber  

Ramgraber  

Inline-Sprühätzanlage 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Spray acid etching system (2008):

Complete spray acid etching unit manufactured by Ramgraber Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1 31,918.35 Warstein, North Rhine-Westphalia
218898
Unaxis  

Unaxis  

CLC200 

List all items of this typeCluster Sputtering Tools

in Single Wafer Sputtering Tools

Sputtertool Clusterline Unaxis:

CL-MET-04

Sputtertool with 6 chambers

1   F* Villach, Carinthia
247680
Surplus Electric Motors 
Surplus Electric Motors 

List all items of this typeElectric Motors - Other

in Other Motors

Surplus Electric Motors:

XE Electric Motor 1MAF69566

Frame: 365LPZ     HP: 75

RPM: 3560            VOLTS: 460

Reliance XEX Electric Motor P36G1319D

Frame: 365LP       HP: 75

RPM:355               VOLTS: 230/460 3 Phase

Condition: (sold “AS IS” with no warranties or liabilities). Dow recommends viewing to make your own determination of condition.

For viewing Contact Steven Helm between the hours of 6:30 am – 4:00 pm, Mon – Thurs @

979-238-0923 or Email: SDHelm@dow.com to set up an appointment or for additional pictures and detail listing.

Bidding closes on the acceptance of a qualifying bid.

LOAD OUT: LOADED ON BUYERS TRANSPORT FREE OF CHARGE

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

Note: State of Texas Sales Tax will be applied to the Winning Bid, unless a valid State of Texas Sales Exemption is provided.

1 lot   Freeport, Texas
248241
Suss Tamarack Scient  

Suss Tamarack Scient  

TAMARACK M423 EXCIMER 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

1   East Fishkill, New York
237574
TAE YANG TECH  

TAE YANG TECH  

WET BENCH 

List all items of this typeClean Room Equipment - Other

in Clean Room Equipment

TAE YANG TECH, WET BENCH, 200mm, parts cleaning sink:

TAE YANG TECH, WET BENCH, 200mm, parts cleaning sink

1   Singapore
244401
MBL  

MBL  

RAM 100 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

TAPER_MBL RAM-100_PST001:

TAPER_MBL RAM-100_PST001

1   Regensburg, Bavaria
244631
INFINEON TECHNOLOGIES AG  

INFINEON TECHNOLOGIES AG  

THA 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

TAPER_THA_ETAP006:

TAPER_THA_ETAP006

1   Regensburg, Bavaria
245281
Tel  

Tel  

Lithius Pro i 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL Lithius Pro i, 300mm, s/n: N100463, TRK1372:

TEL Lithius Pro i, 300mm, s/n: N100463, TRK1372

Coater/Developer Tool

1   Dresden, Saxony
246930
Tel  

Tel  

Lithius 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL Lithius, sn: G391405 ,300 mm, TRK1420, KrF Litho:

TEL Lithius, sn: G391405 ,300 mm, TRK1420 (ALC1420TRK)

1   Dresden, Saxony
241022
Tel  

Tel  

Lithius 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL Lithius, sn: MDG160683, ,300 mm:

Coat only Tool

1   Dresden, Saxony
248322
Tokyo Electron Ltd  

Tokyo Electron Ltd  

ACT8 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL, ACT8, 200mm, S/N 9101291:

TEL, ACT8, 200mm, S/N 9101291

1   Singapore
226733
Tel  

Tel  

CR385PH 

List all items of this typeAutomatic Test Equipment - Other

in Automatic Test Equipment

TEL, CR385PH, S/N 067004:

TEL, CR385PH, S/N 067004

1   Burlington, Vermont
247197
Tel  

Tel  

LITHIUS PRO V 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL, LITHIUS PRO V, 300mm, sn: V110263, HM05:

TEL, LITHIUS PRO V, 300mm, sn: V110263, Immersion Coater/Dev

1   East Fishkill, New York
247606
Tel  

Tel  

LITHIUS 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL, LITHIUS, 300mm, sn: MDG350168:

2-Block-Machine

1   Dresden, Saxony
226738
Tel  

Tel  

LTI unit 

List all items of this typeAutomatic Test Equipment - Other

in Automatic Test Equipment

TEL, LTI unit, S/N 12007:

TEL, LTI unit, S/N 12007

1   Burlington, Vermont
245289
Tokyo Electron Limit  

Tokyo Electron Limit  

8181523 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL, Mark 8, 200mm, S/N 8181523:

TEL, Mark 8, 200mm, S/N 8181523

SOG Coater. 2 coaters only.

1   Singapore
242652
Tel  

Tel  

P12XL Prober 

List all items of this typeProbe Equipment - Other

in Wafer Probers

TEL, P12XL Prober, 300mm, s/n: PH05501, TEL P12XL Prober single foup VIP3A:

TEL, P12XL Prober, 300mm, s/n: PH05501, TEL P12XL Prober single foup VIP3A

1   Burlington, Vermont
248242
Tokyo Electron Ltd  

Tokyo Electron Ltd  

P12XL 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

TEL, P12XL, 300mm, s/n: PH04204:

TEL P12XL Prober

 

1   East Fishkill, New York
242878
Tel  

Tel  

P12XLn 

List all items of this typeProbe Equipment - Other

in Wafer Probers

TEL, P12XLn, 300mm, S/N PN00453:

TEL, P12XLn, 300mm, S/N PN00453

1   Singapore
240395
Tel  

Tel  

P12XLn 

List all items of this typeProbe Equipment - Other

in Wafer Probers

TEL, P12XLn, 300mm, s/n: PN02075:

TEL, P12XL, 300mm, s/n: PN02075

1   Burlington, Vermont
245287
Tokyo Electron Limit  

Tokyo Electron Limit  

TE8500 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

TEL, TE8500, 200mm. S/N K85500:

TEL, TE8500, 200mm. S/N K85500

1   Singapore
236302
Teradyne  

Teradyne  

Catalyst Mixed Signal 

List all items of this typeMixed Signal Test Systems

in Device Testers

Teradyne Catalyst:

TESTER ID           : CAT-004

Model  : CATALYST MIXED SIGNAL

Manufacturer   : Teradyne

Serial No.  : 0027H256 

Date Released  : 15/09/00

COMPUTER HW/SW:

Tester Computer  : Suns ULTRA60 / 256 MB RAM

User Computer  : Suns ULTRA5 / 256 MB RAM

HD  : 8.5 GB

Software  : Solaris –OS rev. 5.5.1

IMAGE Rev.  : 7.0 D8

Tester DIG:

Data rate  : 200 MHZ

DP CH  : 256 CH

Tester DC:

DC SRC Matrix  : 4 CH

DC DUT SRC  : 8 CH

AAPU PIN  : 48 CH

STORED DATA BITS  : 48 CH

System PPMU  : 1 CH

TESTER AC:

PLFS/PLFD  : 4CH

VHF AWG400  : 1CH

VHF DIG  : 2CH

PAC Card Cage  : 3

VREG  : 2 CH

DOCKING/MANIPULATOR:

Docking  : SNR DOCKING

Manipulator  : UNIVERSAL Manipulator

Input Power:

Power Supply  : 380 VAC, / 48 Amp

       50/60Hz, 3 phase

Power Consumption Rate   : 31.6 KVA (Max)

1   Regensburg, Bavaria
246053
TEAM AUTOMATION SYST  

TEAM AUTOMATION SYST  

MC300B 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Test Equipment_MC300B:

Test Equipment_MC300B

1   Regensburg, Bavaria
200892
Multitest  

Multitest  

MT2168 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Test Tool ABC development project:


Test Tool from multitest was rarely used for development project ABC

- Excellent Condition (Basically new)

- Complete (no missing parts) 
- No Damages

LOCATION: Tijuana Mexico


Description: 

- Fully testing COOLiR2DIE at high current and voltage presents challenges for a test system and handler
> Test team chose the LEMSYS test solution
> Tester capability:  2000A/2000V for static and 2000A/1500V for dynamic testing
- The handler choice was limited due to the package size and exposed die
- Multitest with vertically docked pick & place handlers
> A custom manipulator from ESMO was required to provide a working interface on a “headless” LEMSYS tester




1 98,026.26 Tijuana, Baja California
244951
THA Germany  

THA Germany  

THA 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

TESTER_THA ELECTRICAL_ET-03:

TESTER_THA ELECTRICAL_ET-03

1   Regensburg, Bavaria
244954
THA Germany  

THA Germany  

THA 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

TESTER_THA ELECTRICAL_ET-06:

TESTER_THA ELECTRICAL_ET-06

1   Regensburg, Bavaria
244950
THA Germany  

THA Germany  

THA 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

TESTER_THA ELECTRICAL_ET-10:

TESTER_THA ELECTRICAL_ET-10

1   Regensburg, Bavaria
238041
Testing needle card holder SCRAP 
Testing needle card holder SCRAP 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Testing needle card holder SCRAP:

Assetno# 10005210 Applied scrap on 2022/2/24,cannot find the post history and r-posted by 2/15/2023

1   Regensburg, Bavaria
244702
Nitto Denko  

Nitto Denko  

PFP10 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

THWV35-01 - PFP10 :

Delaminator

1   Villach, Carinthia
239565
ABB  

ABB  

RESIBLOC 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Transformer ABB:

Transformer No 65

1   Regensburg, Bavaria
239566
ABB  

ABB  

 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Transformer ABB:

Transformer 66

1   Malacca, Malacca
244851
ABB  

ABB  

RESIBLOC 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

1   Malacca, Malacca
244852
ABB  

ABB  

RESIBLOC 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

1   Malacca, Malacca
244850
ABB  

ABB  

RESIBLOC 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

TRANSFORMER MVA ABB :

TRANSFORMER MVA ABB 

1   Malacca, Malacca
245232
Accretech  

Accretech  

UF200A 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

TSK - 018:

Probertyp           UF200

Hinge Manipulator          Yes

Type of tester head plate             Agilent

Prober power supply rate            230V

Chuck Type (Nickel, Gold, etc.)  Gold, Karo Pattern,

Stagepin mit Bernoulli

Network/connection     Yes

APCC Auto Probe Card Changer No

Top Side Handling            No

Cleaning pad module     Yes/ Tungsten

Chiller/ Cold Option        No

Hot Option (25-180°C)   Yes

1   Villach, Carinthia
245180
Accretech  

Accretech  

UF200A 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

TSK Prober (TSK-032):

Probertyp

UF200A

Hinge Manipulator

Yes

Type of tester head plate

Agilent

Prober power supply rate

230V

Chuck Type (Nickel, Gold, etc.)

Gold, Karo Pattern,
Stagepin mit Bernoulli

Network/connection

Yes

APCC Auto Probe Card Changer

No

Top Side Handling

No

Cleaning pad module

Yes/ Tungsten

Chiller/ Cold Option

No

Hot Option (25-180°C)

Yes

1   Villach, Carinthia
248489
Accretech  

Accretech  

UF200 

List all items of this typeAutomatic Wafer Probers

in Wafer Probers

1   F*N* Kulim, Kedah
248490
Accretech  

Accretech  

UF200 

List all items of this typeAutomatic Wafer Probers

in Wafer Probers

1   N* Kulim, Kedah
248491
Accretech  

Accretech  

UF200 

List all items of this typeAutomatic Wafer Probers

in Wafer Probers

1   N* Kulim, Kedah
248492
Accretech  

Accretech  

UF200 

List all items of this typeAutomatic Wafer Probers

in Wafer Probers

1   N* Kulim, Kedah
246567
Ultratech Inc  

Ultratech Inc  

LSA100A 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

ULTRATECH LSA100A, s/n: 6114, Laser Spike Anneal:

Laser Spike Anneal

1   Dresden, Saxony
245222
Prometrix  

Prometrix  

UV1080-01 

List all items of this typeWafer Manufacturing Metrology Equipment - Other

in Wafer Manufacturing Metrology Equipment

UV1080-01:

KLA-Tencor - Tool in production

- Measures Film Thickness, Refractive Index (RI) and Extinction Coefficient of Single and Multi-Layer Thin Film Stacks Simultaneously without Referencing

1   Villach, Carinthia
244539
KLA Tencor  

KLA Tencor  

UV1250SE 

List all items of this typeUV-Visible Spectrophotometers

in Spectrophotometers

1   Villach, Carinthia
Displaying 201-300 of 321  Page  Show First Page Show Previous Page  Show Next Page


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.

Items from the following manufacturers are offered under Semiconductor Manufacturing Equipment:
INFINEON TECHNOLOGIES AG, TEXUS CO., LTD., Texus DBD4600, ABB, Accretech, Advantest, AP&S Customized Solutions, Applied Materials, Inc., ASM, ASML, ASYS, ATV Technologie GmbH, Axcelis Technologies GmbH, Axcelis/Eaton, Balzers, belgigast, BESI, BORJA, Brooks, Bruce (BTI), BTU, CALPEDA, CFM Technologies, Datacon, Disco, Disco corporation Ja, Ebara, EDA Industries (Asia, EKRA, EO Technics, ESSEM TEC, FEI, FICO,NETHERLANDS., FSI, Gasonics, Hanmi Semiconductor, Hitachi, Hitachi Kokusai Elec, HMI, HSEB, Ind Solution S/B, Innolas GmbH, ISM Industrie Service Mueller GmbH, Ismeca, Keithley, Keithley Instruments, KLA Tencor, KLA-Tencor, Kokusai, Kufner, KVA GmbH, LAM Research Corp., Matrix Corp, Mattison, Mattson Technology, MBL, Mechatronics Systemtechnik GmbH, Multitest , NGK FILTECH, Nikon, Nikon, Nitto Denko, Nordson, Novellus Systems, Orbotech, Oxford Instruments, P&P TECH, Plastifer, Prometrix, Prominent, PVA TePla, Ramgraber, Rapiscan Systems, Rorze, Rudolph Technologies, Inc., Schmid, Semi-Tool, Semitool, Shinkawa, Siemens, SOLVISION, Sonix, Speedline Technologies, Stübbe, Suki Technology Sdn., Suss MicroTec, TAE YANG TECH, TAMCO, TEAM AUTOMATION SYST, Tel, Tencor, Teradyne, THA Germany, Tokyo Electron Limited, Tokyo Electronics Limited, Trane, Trumpf, Ultratech Inc, Unaxis, Zeiss