About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register View prices in  or ...    
 MENU OF PRODUCT CATEGORIES   View   Search-by-Specs   
View All Listings Under

ALL CATEGORIES


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group Listings into sub-categories under ALL CATEGORIESGroup Listings into sub-categories under ALL CATEGORIES

List all 1822 product types under ALL CATEGORIESList all 1822 product types under ALL CATEGORIES


  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
Displaying 1-100 of 405  Page  No Previous Page  Show Next Page Show Last Page
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
247693
2000 FORD ECONOLINE 
2000 FORD ECONOLINE 

List all items of this typeTransportation Vehicles - Other

in Mobile Equipment

2000 FORD ECONOLINE:

Located in Midland, MI

2000 FORD ECONOLINE VIN 1FMRE1127YHB56222

177,066 miles, 2 wd, trailer hitch, middle row seating

Dow Unit VEH 1273

HOURS OF OPERATION: 7:00am - 5:30pm EST, Mon - Thurs

Contact: David Callahan Office: (989) 633-0985 email: dcallahan@dow.com

Sold As-Is, Where-Is

Bidding Closes on Dow’s acceptance of a qualifying bid

Sealed Bidding starts at $300

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: This lot will be loaded onto buyer's truck free of charge.

Buyer is responsible for securing the load and logistics

1   Midland, Michigan
247695
2005 Dodge Caravan  
2005 Dodge Caravan  

List all items of this typeTransportation Vehicles - Other

in Mobile Equipment

2005 Dodge Caravan :

Located in Midland, MI

2005 Dodge Caravan VIN 1DEGP24R75B117667

TBA miles, shift column stuck unable to go into any gear Vehicle has been sitting since 2018

Dow Unit VEH 1215

HOURS OF OPERATION: 7:00am - 5:30pm EST, Mon - Thurs

Contact: David Callahan Office: (989) 633-0985 email: dcallahan@dow.com

Sold As-Is, Where-Is

Bidding Closes on Dow’s acceptance of a qualifying bid

Sealed Bidding starts at $300

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: This lot will be loaded onto buyer's truck free of charge.

Buyer is responsible for securing the load and logistics

1   Midland, Michigan
248197
2006 Ottawa Commando Yard Tractor 
2006 Ottawa Commando Yard Tractor 

List all items of this typeMaterials Handling Equipment - Other

in Materials Handling and Storage Equipment

2006 Ottawa Commando Yard Tractor:

2006 Ottawa Commando Yard Tractor

Tractor is described below.

  1. Miles/Hours- 77,674 miles/15,779 hrs.
  2. Known Defects- None known, sometime cab won’t raise, but just have to pull cord two or three times.
  3. Tire Condition- Needs tires
  4. Last Used- Last used 2/2/24, but started every shift when not in use.
  5. Pictures of truck- Attached

Location: Louisville, KY

INTERNAL DOW STAFF

Items may be redeployed to your facility by choosing from the available list attached below. Please contact Michael Brady at brady@dow.com

PUBLIC BUYERS

Internal users request for material will be given priority after which bids from members of the public will be considered in the order they were received. 

Sold “As Is-Where Is” with no warranties or liabilities. Dow recommends viewing to make your own determination of condition.

Bidding closes on Dow’s acceptance of a qualifying bid

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: Buyer is responsible for loading, securing the load, and logistics.

 

1   N* Louisville, Kentucky
209845
200mm THIN WAFER Loader NSX 
200mm THIN WAFER Loader NSX 

List all items of this typeTest & Measurement - Other

in Test & Measurement Equipment

200mm THIN WAFER Loader NSX:

200mm THIN WAFER Loader NSX

“NIDEC SANKYO Corporation” , Model: “SR8220-019”, SN. „FR00891253“

1   Dresden, Saxony
240516
2x inker machine Scrap 
2x inker machine Scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

2x inker machine Scrap:

1: inker machine will be replace by die sorter machine 

2: machine can't  work and repair

Cost CentreAssetSNo.Asset nameAsset name
 (PO information)
Serial no.
9F429100106240Tsf_Wafer Prober_Electroglas_8"85000109070 -01;#8820000279;ECCN:EAR99INK-12
9F429100110680Tsf_Wafer Prober_APR-186(old)8500110549-03;INV#8820000333;ECCN:EAR99INK-14
2   Regensburg, Bavaria
248400
3x datacon scrap 
3x datacon scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

3x datacon scrap:

Based on the VRFC&TCR plan, we will gradually scrap CC FCOS datacon lines. 1x datacon scrap in Mar’24 and 2x datacon (1x production & 1x back up) scrap in Oct’24

PIO Asset#Old asset#
30400800318010004086
30400800326610004857
30400800326010004811
3   N* Regensburg, Bavaria
239374
3x Microscope scrap 
3x Microscope scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

3x Microscope scrap:

 

AssetSNo.Asset name
100042990Leica S5E  Microscope
100055140Carton SPZ50 Microscope
100066430Leica MS5 Microscope

these are withdraw parts from scrapped machine and the spare parts tear down, currently all have worn out for scrap

3   Regensburg, Bavaria
236797
ACB35 scrap 
ACB35 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

ACB35 scrap:

S128-S131 machine Fix Asset scrap, based on OPC scrap plan,UTC5100 replace ACB35 machine

4   Regensburg, Bavaria
237203
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Both Tubes are in good condition.

Last maintenance was in Dezember 2021.

Tube 1D was last used January 2022

Tube 2D was last used August 2022

Tool is in original condition

1   Villach, Carinthia
237239
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Reactor 2 is still in Production

Both Tubes are in good condition.

Last maintenance was in Dezember 2022.

Heating Cassette from 1D is broken.

Tool is in original condition

 

1   Villach, Carinthia
238042
Advantest  

Advantest  

93000 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

ADVANTEST 93000, sn: DE04601132, Logic and Memory functional tester:

ADVANTEST 93000, sn: DE04601132, Logic and Memory functional tester

1   East Fishkill, New York
238044
Advantest  

Advantest  

93000 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

ADVANTEST 93000, sn: DE04601138, Logic and memory functional test:

ADVANTEST 93000, sn: DE04601138, Logic and memory functional test

1   East Fishkill, New York
244640
Advantest  

Advantest  

93000 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

ADVANTEST 93000, sn: DE04601388, Logic and memory functional test:

ADVANTEST 93000, sn: DE04601388, Logic and memory functional test

1   East Fishkill, New York
238045
Advantest  

Advantest  

93000 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

ADVANTEST 93000, sn: MY04600193, Logic and memory functional test:

ADVANTEST 93000, sn: MY04600193, Logic and memory functional test

1   East Fishkill, New York
238046
Advantest  

Advantest  

93000 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

ADVANTEST 93000, sn: MY04600539, Logic and memory functional test:

ADVANTEST 93000, sn: MY04600539, Logic and memory functional test

1   East Fishkill, New York
241317
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003046

MFG date: Oct, 2002

Asset : T-104294-0

DUT : 64

1 0.00 Bangkok, Bangkok
241318
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003068

MFG date: Dec, 2002

Asset : T-104296-0

DUT : 64

1 0.00 Bangkok, Bangkok
241319
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003070

MFG date: Dec, 2002

Asset : T-104300-0

DUT : 64

1 0.00 Bangkok, Bangkok
241320
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003175

MFG date: Dec, 2002

Asset : T-104378-0

DUT : 64

1 0.00 Bangkok, Bangkok
241321
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003531

MFG date: Apr, 2003

Asset : T-106443-0

DUT : 64

1 0.00 Bangkok, Bangkok
241322
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003532

MFG date: Jun, 2003

Asset : T-106445-0

DUT : 64

1 0.00 Bangkok, Bangkok
241323
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004905

MFG date: Jun, 2004

Asset : T-104553-0

DUT : 64

1 0.00 Bangkok, Bangkok
241324
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004906

MFG date: Jun, 2004

Asset : T-104555-0

DUT : 64

1 0.00 Bangkok, Bangkok
241325
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004911

MFG date: Jul, 2004

Asset : T-106900-0

DUT : 64

1 0.00 Bangkok, Bangkok
241326
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004912

MFG date: Jul, 2004

Asset : T-104593-0

DUT : 64

1 0.00 Bangkok, Bangkok
241327
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004915

MFG date: Jul, 2004

Asset : T-106898-0

DUT : 64

1 0.00 Bangkok, Bangkok
232904
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004804

MFG date: May, 2004

Asset : T-106925

DUT : 64

1 33,023.40 Bangkok, Bangkok
232907
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003069

MFG date: Dec, 2002

Asset : T-106919

DUT : 64

1 33,210.37 Bangkok, Bangkok
232905
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004916

MFG date: Aug, 2004

Asset : T-106937

DUT : 64

1 33,983.72 Bangkok, Bangkok
232906
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810005201

MFG date: Aug, 2004

Asset : T-106939

DUT : 64

1 33,983.72 Bangkok, Bangkok
232903
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004617

MFG date: Apr, 2004

Asset : T-106924

DUT : 64

1 33,983.72 Bangkok, Bangkok
232908
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810003768

MFG date: Jun, 2003

Asset : T-106921

DUT : 64

1 35,903.03 Bangkok, Bangkok
232909
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810007395

MFG date: Sep, 2001

Asset : T-107056

DUT : 64

1 37,725.89 Regensburg, Bavaria
232911
Advantest  

Advantest  

M6451AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6451AD Handler:

Brand: Advantest

Model: M6451AD 

Serial No.: 810004903

MFG date: Sep, 2003

Asset : T-107655

DUT : 64

1 75,619.07 Bangkok, Bangkok
232902
Advantest  

Advantest  

M6751AD 

List all items of this typeSMD Handlers

in Device Handlers

Advantest M6751AD Handler:

Brand: Advantest

Model: M6751AD 

Serial No.: DA891518M

MFG date: Feb, 2001

Asset : T-103053

DUT : 32

1 21,979.32 Bangkok, Bangkok
232860
Advantest  

Advantest  

T5371 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5371 Memory Tester:

Brand: Advantest

Model: T5371 

Serial No.: 310016752

MFG date: Feb, 2001

Asset : T-103132

DUT : 32

1 63,373.76 Bangkok, Bangkok
241306
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310054105

MFG date: October, 2002

Asset : T-104293-0

DUT : 64

1 0.00 Bangkok, Bangkok
241307
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310054181

MFG date: December, 2002

Asset : T-104295-0

DUT : 64

1 0.00 Bangkok, Bangkok
241308
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310054183

MFG date: December, 2002

Asset : T-104299-0

DUT : 64

1 0.00 Bangkok, Bangkok
241309
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310056946

MFG date: December, 2002

Asset : T-104379-0

DUT : 64

1 0.00 Bangkok, Bangkok
241310
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310071448

MFG date: April, 2003

Asset : T-106442-0

DUT : 64

1 0.00 Bangkok, Bangkok
241311
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310067878

MFG date: June, 2003

Asset : T-106444-0

DUT : 64

1 0.00 Bangkok, Bangkok
241312
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310123904

MFG date: June, 2004

Asset : T-104590-0

DUT : 64

1 0.00 Bangkok, Bangkok
241313
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310133956

MFG date: June, 2004

Asset : T-104591-0

DUT : 64

1 0.00 Bangkok, Bangkok
241314
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310135605

MFG date: July, 2004

Asset : T-106903-0

DUT : 64

1 0.00 Bangkok, Bangkok
241315
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310135606

MFG date: July, 2004

Asset : T-104592-0

DUT : 64

1 0.00 Bangkok, Bangkok
241316
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310137112

MFG date: July, 2004

Asset : T-106902-0

DUT : 64

1 0.00 Bangkok, Bangkok
232913
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310082128

MFG date: Sep, 2003

Asset : T-104462

DUT : 64

1 36,009.65 Bangkok, Bangkok
232624
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310121923

MFG date: April, 2004

Asset : T-106922

DUT : 64

1 45,012.06 Bangkok, Bangkok
232625
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310119880

MFG date: May, 2004

Asset : T-106923

DUT : 64

1 45,012.06 Bangkok, Bangkok
232809
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310137114

MFG date: August, 2004

Asset : T-106938

DUT : 64

1 45,012.06 Bangkok, Bangkok
232810
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310137115

MFG date: August, 2004

Asset : T-106940

DUT : 64

1 45,012.06 Bangkok, Bangkok
232811
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310067878

MFG date: June, 2003

Asset : T-106920

DUT : 64

1 45,012.06 Bangkok, Bangkok
232859
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310041215

MFG date: Sep, 2001

Asset : T-107054

DUT : 64

1 48,012.86 Bangkok, Bangkok
232858
Advantest  

Advantest  

T5376 

List all items of this typeMemory Test Systems

in Device Testers

Advantest T5376 Memory Tester:

Brand: Advantest

Model: T5376 

Serial No.: 310054182

MFG date: Dec, 2002

Asset : T-106918

DUT : 64

1 125,363.78 Bangkok, Bangkok
245275
Applied Materials  

Applied Materials  

EPI5 

List all items of this typeEpitaxial Cluster Tools

in Epitaxial Reactors

AMAT EPI CENTURA HTF (EPI5):

Software Ver: B6.30

CB1 Amps: 300A

SBC Type: V452

Flow Point Model: Nano Valve

Gas Panel Type: Configurable

Wafer Size: 200mm (with conversion kit 150mm is possible)

M-Monitor: CRT

3 Chambers ATM EPI

With digital Flow-Control of the cooling systems with interlock and passphrase (Simens PLC)

HDD was upgraded to RAID System

Tool called "EPI5"

1   Villach, Carinthia
218746
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Amat P5000 CVD Tool:

P500048

8 inch tool with 4 CVD chambers.

Tool still in Production until (Planned until 3.23) 

1   Villach, Carinthia
248777
AMAT  

AMAT  

UVC 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

AMAT UVC, UVC551CHA, 300 mm:

AMAT UVC, UVC551CHA

1   N* Dresden, Saxony
248778
AMAT  

AMAT  

UVC 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

AMAT UVC, UVC551CHB, 300 mm:

AMAT UVC, UVC551CHB

1   N* Dresden, Saxony
248779
AMAT  

AMAT  

UVC 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

AMAT UVC, UVC551CHC, 300 mm:

AMAT UVC, UVC551CHC

1   N* Dresden, Saxony
232897
Applied Materials In  

Applied Materials In  

0040-62020 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

AMAT, 300mm, CMP Retaining Rings:

AMAT, 300mm, CMP Retaining Rings

1   Malta, New York
246604
Applied Materials  

Applied Materials  

CENTURA EPI 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AMAT, CENTURA EPI, 200mm, S/N 21792:

AMAT, CENTURA EPI, 200mm, S/N 21792

1   Singapore
248647
Applied Materials  

Applied Materials  

Centura 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT, Centura, 200mm, S/N 302958:

AMAT, Centura, 200mm, S/N 302958

Metal Etch. No loadports included.

1   N* Singapore
246375
Applied Materials  

Applied Materials  

CENTURA 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AMAT, Centura, sn: 402970-R3-MAC, 300mm:

AMAT Centura 300mm

1   East Fishkill, New York
248208
Applied Materials  

Applied Materials  

G3 Lite 

List all items of this typeScanning Electron Microscopes

in Inspection Equipment

AMAT, G3 Lite, 300mm, S/N W3041:

AMAT, G3 Lite, 300mm, S/N W3041

1   Singapore
245288
AMAT  

AMAT  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, P5000, 200mm, S/N 4862:

AMAT, P5000, 200mm, S/N 4862

1   Singapore
246605
Applied Materials  

Applied Materials  

Ultima CENTURA DCVD 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959:

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959

2 chms. 

 

1   Singapore
230352
Applied Materials  

Applied Materials  

P16-072 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Ancolyzer P16-072:

3 x Dosage Additive

2 x Slipstreams with pump

2 x Dosing VMS incl. Bleed & Feed

Bulk Fill Tanks

additional doses of H2O2 und H2SO4

Scanner incl. software

 

 

 

1   Dresden, Saxony
204578
Orbotech  

Orbotech  

Ultra Discovery VM 

List all items of this typeMetrology Equipment - Other

in Metrology Equipment

AOI Orbotech Ultra Discovery VM:

Simple, Intelligent, Powerful

Ultra Discovery VM delivers Simple, Intelligent and Powerful AOI performance with 10µm line/space inspection capabilities for FC-BGA, PBGA, CSP and COF production.

Delivering super clear images essential for capturing the finest defects, the system achieves outstanding AOI results with minimal effort or training, even on complicated panels. Most of manufacturers’ valuable time on the system is spent inspecting panels. Logic false calls are virtually eliminated and overall false calls are minimized saving precious verification time.

Benefits

  • High throughput and superior detection with minimal number of false calls
  • Especially designed for inspection of the finest lines down to 10μm
  • Quick set-up even for the most complicated jobs for higher productivity
  • Automation ready
  • Very high uptime
  • SIP TechnologyTM

    Push-to-Scan®:

    • A ‘no set-up’ process
    • Top AOI results with minimal effort or training
    • The easiest, user-friendly interface (GUI)
    • Full ‘Step and Repeat’ functions

    Visual Intelligence:

    Using SIP Technology, Ultra Discovery VM introduces Orbotech’s detection paradigm to the world of fine-line FC-BGA, PBGA/CSP and COF production. With the Visual Intelligence Detection Engine – now dedicated for IC substrate applications - manufacturers no longer have to choose between detection and false calls or waste time on non-critical defects. For the first time in AOI, detect all you want, and only what you want.

    Ultra Discovery VM is equipped with a super-fast optical head, which together with its dedicated IC substrate panel understanding, delivers exceptionally high throughput, superior detection and low false call rates. The optical head is specially designed for inspection of the finest lines down to 10µm. The customized professional lens, featuring unique wide angle illumination, delivers very clear images essential for capturing the finest defects.

    Visual Intelligence:

    • Full panel understanding, context-based detection engine
    • Equipped with ultra-fast sensors and powerful data processing for maximum inspection speed

       

 

1 24,906.67 Regensburg, Bavaria
238645
AP&S Customized Soln  

AP&S Customized Soln  

AWP 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

AP&S Wet Bench:

Tool is sold with all accessories.

Tool is currently stored in the Warehouse 

1   Villach, Carinthia
204911
Applied Materials  

Applied Materials  

Uvision 5 

List all items of this typeOptical Inspection - Other

in Optical Inspection Equipment

Applied Materials, Uvision5, Bright Field Inspection, 300mm:

Applied Materials, Uvision5, Bright Field Inspection, 300mm

In the fab, Idle

 

 

 

1   Malta, New York
245228
Tencor  

Tencor  

KLA-Tencor 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Archer 5-3:

CD Overlay Measurement system - Tool in production

1   Villach, Carinthia
223524
ASM A412 
ASM A412 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

ASM A412:

We are looking for a used ASM A412.

 

Please call +49 941 202 2755 if you want to offer one.

 

 

1   Regensburg, Bavaria
213293
ASM  

ASM  

Siplace CA4 

List all items of this typeAutomatic Flip Chip Bonders

in Flip Chip Bonders

ASM Siplace CA4 with 4 Siplace Wafer Systems 8inch:

SIPLACE CA4:

- High Volume Chip Assembly

- 4 Portal Microchip / SMD Hybrid Assembly system

- 4 Siplace Wafer Systems (SWS) 8" incl. 8" Wafer Expansion

- 4 Wafer Transfer Systems 

- 4 LP-Kamera (TYP34) and bonding Head C+P20

- excluding Loader

 

 

1   Regensburg, Bavaria
248206
ASM  

ASM  

ASM3200 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

ASM, ASM3200, 300mm, S/N 034120:

ASM, ASM3200, 300mm, S/N 034120

1   Singapore
247608
ASML  

ASML  

XT1900GI 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

ASML, XT1900GI, 300mm, S/N 4210:

ASML, XT1900GI, 300mm, S/N 4210

The cymer laser is EOL. 

1   Singapore
242019
ATV Technologie GmbH  

ATV Technologie GmbH  

PEO 602 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 602 tube furnace:

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1   Warstein, North Rhine-Westphalia
242018
ATV Technologie GmbH  

ATV Technologie GmbH  

PEO 603 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 603 tube furnace :

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1   Warstein, North Rhine-Westphalia
243574
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

Axcelis, Optima MD, 300mm, s/n: 083011, IMP203:

Axcelis, Optima MD, 300mm, s/n: 083011

Medium Current Implant

1   Dresden, Saxony
243575
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Axcelis, Optima MD, 300mm, s/n: 083015, IMP205:

Axcelis, Optima MD, 300mm, s/n: 083015

Medium Current Implant

1   Dresden, Saxony
242850
Balzers  

Balzers  

BAK760 

List all items of this typeElectron Beam Evaporators

in Deposition Equipment

BAK760 PC101:

evaporator Vacuum chamber: BAK 760 with accessories (vaccum pump etc.)

 

for the processing of semiconductor devices (Diode, Thyristor)

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
242851
Kufner  

Kufner  

Batch Etching Basin (KOH) 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Batch Etching Basin (KOH):

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing si-wafers after grinding (KOH). Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
236094
CALPEDA  

CALPEDA  

NMD 40/180C/A 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

BOMBA CALPEDA NMD 40/180C/A:

BOMBA CALPEDA NMD 40/180C/A

1   Tarragona, Catalonia
235115
Prominent  

Prominent  

DLTA0280PVT2000U1C130ENO 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent DLTA0280PVT2000U1C130E NO:

BOMBA DOSIFICADORA

ProMinent Sigma

DLTA0280PVT2000U1C130ENO

75 L/h; 0-2 bar; 2/6 tubing 1/2inch 10081006277

1   Tarragona, Catalonia
235116
Prominent  

Prominent  

DLTA0450PVT2000UIC030ENO 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent DLTA0450PVT2000UIC030E NO:

BOMBA DOSIFICADORA

ProMinent Sigma

DLTA0450PVT2000UIC030ENO

49 L/h; 0-4 bar; 2/6 tubing 1/2inch 10081006279

1   Tarragona, Catalonia
235112
Prominent  

Prominent  

DLTA073ONPE0000U1C130ENO 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent DLTA073ONPE0000U1C130E NO:

BOMBA DOSIFICADORA

ProMinent Sigma

DLTA073ONPE0000U1C130ENO

29,2 L/h; 0-7 bar; 2/6 tubing 1/2inch 10081006275

1   Tarragona, Catalonia
235117
Prominent  

Prominent  

GMXA0708PPE20001C1300EN01EN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent GMXA0708PPE20001C1300E N01EN:

BOMBA DOSIFICADORA

ProMinent Sigma

GMXA0708PPE20001C1300EN01EN

7,6 L/h; 0-7 bar; 2/6 tubing 1/2inch 10081006281

1   Tarragona, Catalonia
235118
Prominent  

Prominent  

GMXA0715PPE20001C1300EN01EN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent GMXA0715PPE20001C1300E N01EN:

BOMBA DOSIFICADORA

ProMinent Sigma

GMXA0715PPE20001C1300EN01EN

14,5 L/h; 0-7 bar; 2/6 tubing 1/2inch 10081006276

1   Tarragona, Catalonia
235119
Prominent  

Prominent  

GMXA0715PPE20001C1300EN01EN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent GMXA0715PPE20001C1300E N01EN:

BOMBA DOSIFICADORA

ProMinent Sigma

GMXA0715PPE20001C1300EN01EN

14,5 L/h; 0-7 bar; 2/6 tubing 1/2inch 10081006276

1   Tarragona, Catalonia
235106
Prominent  

Prominent  

MDLA100600TTT0001C030ENO 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora Prominent MDLA100600TTT0001C030ENO:

BOMBA DOSIFICADORA

ProMinent Sigma

MDLA100600TTT0001C030ENO

582m L/h; 0-10 bar; 2/6 tubing 1/2 inch 10081006288

1   Tarragona, Catalonia
235105
Prominent  

Prominent  

S1CBH0742PVTS070U181OSOEN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora Prominent S1CBH0742PVTS070U181OS:

BOMBA DOSIFICADORA

ProMinent Sigma

S1CBH0742PVTS070U181OSOEN

52 L/h; 0-7 bar; 1/2 inch 10081006287

1   Tarragona, Catalonia
235107
Prominent  

Prominent  

S3CBH120145PVTS000U18510SOEN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora Prominent S3CBH120145PVTS000U185 10SOEN:

BOMBA DOSIFICADORA

ProMinent Sigma

S3CBH120145PVTS000U18510SOEN

192 L/h; 0-10 bar; 1 inch 10081006274

1   Tarragona, Catalonia
240587
Brooks  

Brooks  

MTX2000/2 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Brooks Wafer Sorter:

12" Wafer sorter with:

2x Fixload V6

Multiple IOSS Wafer ID Reader

2x Brooks Robots

2x Brooks Aligner

Greenlight Inspection Station

 

1   Villach, Carinthia
209828
Bruker, D8FABLINE, 300mm, X-Ray Metrology 
Bruker, D8FABLINE, 300mm, X-Ray Metrology 

List all items of this typeOther Items

in Microscopes

Bruker, D8FABLINE, 300mm, X-Ray Metrology:

Bruker, D8FABLINE, 300mm, X-Ray Metrology

 

1   Malta, New York
236798
Buffer controller LS19 scrap 
Buffer controller LS19 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Buffer controller LS19 scrap:

LINKING BUFFER SYSTEM LS19 scrap together with S128-S131 machine Fix Asset 

1   Regensburg, Bavaria
241354
EDA Industries (Asia  

EDA Industries (Asia  

SM-24 FH150C 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Burn In Oven System:

Burn In Oven System

1   Regensburg, Bavaria
244542
EDA Industries (Asia  

EDA Industries (Asia  

SM 24 FH 150 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Burn In Oven System:

Burn In Oven System

1   Regensburg, Bavaria
241436
Cannon Image PROGRAF Scanner Printer Model iPF8100 
Cannon Image PROGRAF Scanner Printer Model iPF8100 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Cannon Image PROGRAF Scanner Printer Model iPF8100:

Used scanner printer; printer cartridge empty; no known defects; manual comes with purchase; original lease started in 2008 so it is the assumption that this is the mfg date.

Located @ Deer Park, Texas

Condition: sold “AS IS” with no warranties or liabilities. Dow recommends viewing to make your own determination of condition.

Bidding closes on the acceptance of a qualifying bid.

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: LOADED ON BUYERS TRANSPORT FREE OF CHARGE

*Viewing is encouraged by Dow prior to submission of any bid*

1   Deer Park, Texas
237233
Suss MicroTec  

Suss MicroTec  

CB200 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1   Villach, Carinthia
242618
CFM Technologies  

CFM Technologies  

FullFlow 1/99 8100 

List all items of this typeWafer Cleaners - Other

in Wafer Cleaners

CFM, FullFlow 1/99 8100, s/n: Cont 1 1188, 200mm, Tool ID: 67R88V:

CFM, FullFlow 1/99 8100, s/n: Cont 1 1188, 200mm, Tool ID: 67R88V

1   Burlington, Vermont
Displaying 1-100 of 405  Page  No Previous Page  Show Next Page Show Last Page


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.

Items from the following manufacturers are offered under ALL CATEGORIES:
INFINEON TECHNOLOGIES AG, TEXUS CO., LTD., Texus DBD4600, ABB, Accretech, Advanced Thermal Sciences, Advantest, AMAT, Applied Materials, Inc., ASM, ASM Technology Singapore Pte Ltd, ASYS, ATV Technologie GmbH, Axcelis Technologies GmbH, belgigast, Berger, BESI, BESI Holland, BORJA, Brooks, CFM Technologies, Chinese MFG, CSK, Datacon, Disco, DISCO CORPORATION, Disco corporation Ja, Ebara, ECEC, EDA Industries (Asia, EKRA, EO Technics, ESSEM TEC, FEI, FICO,NETHERLANDS., gaspar, Genie Industries, Hall Tank Co. , Hanmi Semiconductor, Highland Tank, Hiller GmbH, Hitachi, Hitachi Kokusai Elec, HMI, HSEB, Hyster, Ind Solution S/B, ISCO, ISM Industrie Service Mueller GmbH, Ismeca, Keithley, Keithley Instruments, Keteca, KLA, Kufner, KVA GmbH, LAM Research Corp., Mattson Technology, MBL, Mechatronics Systemtechnik GmbH, Metler Toledo, NGK FILTECH, Nikon, Nitto Denko, Novellus Systems, Olympus, Orbotech, P & P TECH SDN BHD, P&P TECH, Plastifer, POWATEC, Prominent, Ramgraber, Rapiscan Systems, RICOR, Rudolph Technologies, Inc., Schmid, Semtek, Shinkawa, Siebec GmbH, SOLVISION, Sonix, Stübbe, Suki Technology Sdn., Suss MicroTec, Suss Tamarack Scient, TAE YANG TECH, TAMCO, TEAM AUTOMATION SYST, Tel, Tencor, Tennant, TESCAN, THA Germany, Tokyo Electron Limited, Tokyo Electronics Limited, Trane, Trumpf, Ultratech Inc, Zeiss