About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register View prices in  or ...    
ALL CATEGORIES   Semiconductor Mfg   View   Search-by-Specs   
View All Listings Under

Wafer Fabrication Equipment


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group Listings into sub-categories under Wafer Fabrication EquipmentGroup Listings into sub-categories under Wafer Fabrication Equipment

List all 98 product types under Wafer Fabrication EquipmentList all 98 product types under Wafer Fabrication Equipment


  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
237203
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Both Tubes are in good condition.

Last maintenance was in Dezember 2021.

Tube 1D was last used January 2022

Tube 2D was last used August 2022

Tool is in original condition

1   Villach, Carinthia
237239
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Reactor 2 is still in Production

Both Tubes are in good condition.

Last maintenance was in Dezember 2022.

Heating Cassette from 1D is broken.

Tool is in original condition

 

1   Villach, Carinthia
245275
Applied Materials  

Applied Materials  

EPI5 

List all items of this typeEpitaxial Cluster Tools

in Epitaxial Reactors

AMAT EPI CENTURA HTF (EPI5):

Software Ver: B6.30

CB1 Amps: 300A

SBC Type: V452

Flow Point Model: Nano Valve

Gas Panel Type: Configurable

Wafer Size: 200mm (with conversion kit 150mm is possible)

M-Monitor: CRT

3 Chambers ATM EPI

With digital Flow-Control of the cooling systems with interlock and passphrase (Simens PLC)

HDD was upgraded to RAID System

Tool called "EPI5"

1   Villach, Carinthia
218746
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Amat P5000 CVD Tool:

P500048

8 inch tool with 4 CVD chambers.

Tool still in Production until (Planned until 3.23) 

1   Villach, Carinthia
246604
Applied Materials  

Applied Materials  

CENTURA EPI 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AMAT, CENTURA EPI, 200mm, S/N 21792:

AMAT, CENTURA EPI, 200mm, S/N 21792

1   Singapore
248647
Applied Materials  

Applied Materials  

Centura 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT, Centura, 200mm, S/N 302958:

AMAT, Centura, 200mm, S/N 302958

Metal Etch. No loadports included.

1   N* Singapore
246375
Applied Materials  

Applied Materials  

CENTURA 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AMAT, Centura, sn: 402970-R3-MAC, 300mm:

AMAT Centura 300mm

1   East Fishkill, New York
245288
AMAT  

AMAT  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, P5000, 200mm, S/N 4862:

AMAT, P5000, 200mm, S/N 4862

1   Singapore
246605
Applied Materials  

Applied Materials  

Ultima CENTURA DCVD 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959:

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959

2 chms. 

 

1   Singapore
230352
Applied Materials  

Applied Materials  

P16-072 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Ancolyzer P16-072:

3 x Dosage Additive

2 x Slipstreams with pump

2 x Dosing VMS incl. Bleed & Feed

Bulk Fill Tanks

additional doses of H2O2 und H2SO4

Scanner incl. software

 

 

 

1   Dresden, Saxony
238645
AP&S Customized Soln  

AP&S Customized Soln  

AWP 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

AP&S Wet Bench:

Tool is sold with all accessories.

Tool is currently stored in the Warehouse 

1   Villach, Carinthia
248206
ASM  

ASM  

ASM3200 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

ASM, ASM3200, 300mm, S/N 034120:

ASM, ASM3200, 300mm, S/N 034120

1   Singapore
247608
ASML  

ASML  

XT1900GI 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

ASML, XT1900GI, 300mm, S/N 4210:

ASML, XT1900GI, 300mm, S/N 4210

The cymer laser is EOL. 

1   Singapore
242019
ATV Technologie GmbH  

ATV Technologie GmbH  

PEO 602 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 602 tube furnace:

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1   Warstein, North Rhine-Westphalia
242018
ATV Technologie GmbH  

ATV Technologie GmbH  

PEO 603 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 603 tube furnace :

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1   Warstein, North Rhine-Westphalia
243574
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

Axcelis, Optima MD, 300mm, s/n: 083011, IMP203:

Axcelis, Optima MD, 300mm, s/n: 083011

Medium Current Implant

1   Dresden, Saxony
243575
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Axcelis, Optima MD, 300mm, s/n: 083015, IMP205:

Axcelis, Optima MD, 300mm, s/n: 083015

Medium Current Implant

1   Dresden, Saxony
242850
Balzers  

Balzers  

BAK760 

List all items of this typeElectron Beam Evaporators

in Deposition Equipment

BAK760 PC101:

evaporator Vacuum chamber: BAK 760 with accessories (vaccum pump etc.)

 

for the processing of semiconductor devices (Diode, Thyristor)

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
242851
Kufner  

Kufner  

Batch Etching Basin (KOH) 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Batch Etching Basin (KOH):

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing si-wafers after grinding (KOH). Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
240587
Brooks  

Brooks  

MTX2000/2 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Brooks Wafer Sorter:

12" Wafer sorter with:

2x Fixload V6

Multiple IOSS Wafer ID Reader

2x Brooks Robots

2x Brooks Aligner

Greenlight Inspection Station

 

1   Villach, Carinthia
237233
Suss MicroTec  

Suss MicroTec  

CB200 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1   Villach, Carinthia
248648
Gasonics  

Gasonics  

PEP-4800DL 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Concept Part Solutions, Inc., PEP-4800DL, 200mm, S/N M860260:

Concept Part Solutions, Inc., PEP-4800DL, 200mm, S/N M860260

No loadports included.

1   N* Singapore
242940
Kufner  

Kufner  

Batch Etching Basins 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Dip-etch wet benchs:

4x Dip-etch wet bench (1998)

 

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing bonded si-wafers up to 80mm diameter.

Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1   Warstein, North Rhine-Westphalia
240604
Axcelis/Eaton  

Axcelis/Eaton  

NV8250P 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

EATON NV8250P :

Retrofits/Upgrade:

- Z-Blok Gasbox (only 1 Gas string  available)

- Vaporizer

- Metal Reduction Kit

- AMU Xantrex PS

- Parallel Lens NV8250P

- oneDoseCup

- reduced surface Aligner

- Cryo Compressor 9600V

- timeline for sale: beginning of 2024

- without pre-vaccuum pumps

1   Regensburg, Bavaria
248240
Ebara  

Ebara  

F-REX300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   East Fishkill, New York
246602
Ebara  

Ebara  

EPO-2228 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

EBARA, EPO-2228, 200mm, S/N PTD90457EX:

EBARA, EPO-2228, 200mm, S/N PTD90457EX

Oxide CMP

1   Singapore
210404
Suss MicroTec  

Suss MicroTec  

Falcon Polyimid Developer 

List all items of this typeStandard Photoresist DevTracks

in Photoresist Develop Track Systems

Fairchild Developer Ent3C:

Developer with 2 chambers for spray developing.

 

1   Villach, Carinthia
244283
FEI  

FEI  

Helios NanoLab 1200HP 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

FEI, Helios NanoLab 1200HP, sn: 9921505, Failure Analysis:

FEI, Helios NanoLab 1200HP, sn: 9921505, Failure Analysis

1   Malta, New York
245290
FSI  

FSI  

Excalibur ISR 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094:

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094

1   Singapore
240586
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Furnance:

Furnance will be sold without Reactor 2

Parts for sale:

WIP

Reactor 1 Poly

Cassetteheater

Robot Housing

Power Cabinet

 

1   Villach, Carinthia
231620
Bruce (BTI)  

Bruce (BTI)  

DSVA 24 

List all items of this typeHorizontal Diffusion Furnaces

in Diffusion Furnaces

Furnance BDF-41 Ofen 28B:

Diffusion Furnance for atmospheric oxid processes.

Tool is productive in use until 27.06.2022

1   Villach, Carinthia
238965
Accretech  

Accretech  

PG200RM 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Grinder/Polisher:

2 of 4 Chucks are broken (vaacuum channels)

PC is repaired poorly

Spindle Z1 broken, Z2 is ok

Chuckcleaning is only partly functional

Robots 1 and 2 OK

Pump of the Vaccuum unit is broken

USV is broken

Tool was only used for polishing!

Tool will be sold with many spareparts

1   Villach, Carinthia
202834
Kokusai  

Kokusai  

DJ-1236VN-DF 

List all items of this typeVertical LPCVD Furnaces

in LPCVD Furnaces

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm:

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm

1   Malta, New York
243313
Hitachi Kokusai Elec  

Hitachi Kokusai Elec  

DJ-1236VN-DF 

List all items of this typeVertical LPCVD Furnaces

in LPCVD Furnaces

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm, s/n: DN23300, FVX2488:

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm, FVX2488

Vertical LPCVD Furnace

1   Malta, New York
204280
Hitachi  

Hitachi  

M-8190XT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Hitachi, M-8190XT, 300mm, Plasma Etch:

Hitachi, M-8190XT, 300mm, Plasma Etch

3 Chambers

In Fab, Warm Idle

1   Malta, New York
238643
PVA TePla  

PVA TePla  

TWIN 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1   Villach, Carinthia
239809
LAM Research Corp.  

LAM Research Corp.  

2300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM 2300 Mainframe:

›1 x AC Rack

›1 x Atmospheric transfer module

›1 x Vacuum transfer module

›3 x Loadports (Ergo 200mm)

1   Villach, Carinthia
219677
LAM Research Corp.  

LAM Research Corp.  

INOVA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, INOVA, 300mm, S/N 007823-0389:

LAM, INOVA, 300mm, S/N 007823-0389

1   Malta, New York
245286
LAM Research Corp.  

LAM Research Corp.  

Rainbow 4428 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, Rainbow 4428, 200mm, S/N 3533 :

LAM, Rainbow 4428, 200mm, S/N 3533 

1   Singapore
245285
LAM Research Corp.  

LAM Research Corp.  

TCP9408SE 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, TCP9408SE, 200mm, S/N 4545:

LAM, TCP9408SE, 200mm, S/N 4545

1   Singapore
219675
LAM Research Corp.  

LAM Research Corp.  

Vector Express 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

LAM, Vector Express, 300mm, S/N D22437A, Ashable Hard Mask CVD:

LAM, Vector Express, 300mm, S/N D22437A, Ashable Hard Mask CVD

1   Malta, New York
247204
Mattson Technology  

Mattson Technology  

AST 2800 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

Mattson, AST 2800, 200mm, S/N 97060361:

Mattson, AST 2800, 200mm, S/N 97060361

1   Singapore
241157
Mattison  

Mattison  

MILLIOS HVM 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Mattson, MILLIOS HVM, 300mm, s/n: 11043001, 09130001, 09250001:

Mattson, MILLIOS HVM, 300mm, s/n: 11043001, 09130001, 09250001

1   Malta, New York
224568
Mechatronic 300mm Sorter 
Mechatronic 300mm Sorter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Mechatronic 300mm Sorter:

Sort-05

Mechatronic Sorter for 200 and 300mm

With bernoulli Aligner for 8 and 12 inch and IOSS Camera.

And greenlight inspection.

With 4 Synfoniy 300mm Loadports, 2 on the front side and 2 on the backside.

1   Villach, Carinthia
238644
Datacon  

Datacon  

2200 apm 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Multi-Chip Die Bonder:

Operations and Maintenance Manuals available.

1   Villach, Carinthia
248319
Nikon  

Nikon  

NSR-2205EX14C 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Nikon, NSR-2205EX14C, 200mm, S/N 7573113:

Nikon, NSR-2205EX14C, 200mm, S/N 7573113

1   Singapore
245214
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Nikon, NSR-S208D, 300mm, S/N 8732041:

Nikon, NSR-S208D, 300mm, S/N 8732041

1   Singapore
247027
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

NIKON, NSR-S208D, 300mm, s/n: 8732048:

NIKON, NSR-S208D, 300mm, s/n: 8732048

Lithography Step and repeat scanning system

1   Dresden, Saxony
247605
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

NIKON, NSR-S208D, 300mm, s/n: S62 0290202:

Lithography Step and repeat scanning system

1   Dresden, Saxony
240450
NITTO Wafer Laminator 2018 
NITTO Wafer Laminator 2018 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

NITTO Wafer Laminator 2018:

NITTO Wafer Laminator 2018

Nel System

Type: DR8500iii

1   Regensburg, Bavaria
223074
Novellus Systems  

Novellus Systems  

Concept Two SPEED 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Novellus Concept Two SPEED (shrink):

1 Mainframe w/ 3 Chambers 

Damages/Deficites: Chamber Turbo Pumps & HF/LF Generators 
EOL: Turbo Pumps

 

no significant failures between last 3 years

1   Dresden, Saxony
239647
Novellus Systems  

Novellus Systems  

INOVA NEXT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

NOVELLUS, INOVA NEXT, s/n M23321A, 300mm:

NOVELLUS, INOVA NEXT, s/n M23321A, 300mm

1   Malta, New York
244285
Oxford Instruments  

Oxford Instruments  

OPAL 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

OXFORD INSTRUMENTS, OPAL, sn: 94-220255, RF/Plasma oxide deposition tool:

OXFORD INSTRUMENTS, OPAL, sn: 94-220255, RF/Plasma oxide deposition tool

1   Malta, New York
242656
Applied Materials  

Applied Materials  

P5000 

List all items of this typeCluster PECVD Tools

in Production Tools

P5000:
CHAMBER A Universal CVDTeos
CHAMBER B Universal CVDTeos
CHAMBER CMark2Etch
CHAMBER DMark2Etch

The Tool is sold with 4 chambers and was productiv until August 2023

1   Villach, Carinthia
242682
Applied Materials  

Applied Materials  

P5000 

List all items of this typeCluster PECVD Tools

in Production Tools

P5000:
CHAMBER A x Universal CVDTeos
CHAMBER B x Universal CVDTeos
CHAMBER Dx Universal CVDTeos

Tool is sold with three chambers and was used in cleanroom until August 2023

1   Villach, Carinthia
238827
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

P5000:

From Chamber B following Parts are missing:

  1. RF Generator
  2. RF Match
  3. Baratron
  4. Turbo/Controller

Chamber B,C,D are universal CVD etch chambers.

1   Villach, Carinthia
240853
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

P5000:

4x Standard SNIT Chambers

1   Villach, Carinthia
242852
KVA GmbH  

KVA GmbH  

automatisierte Si-Pellets Ätzbank 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Pellet Edge Batch Etch Tool:

Automtic dip-etch bench (2014)

 

Complete dip acid etching unit manufactured by KVA, Austria. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing semiconductor devices (Diode, Thyristor)

up to 58 mm, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
239644
Ramgraber  

Ramgraber  

SST 

List all items of this typeSolvent Wet Stations

in Wet Processing Equipment

Ramgraber SST:

Used Configuration:

Tank 1: EKC

Tank 2: P1331

Tank 3 and 4: DMF

Tank 5: IPA

Known errors:

Filter from tank 4 is leaking

Heater 1 from tank 4 is broken

Heater 3 from tank 4 is broken

1   F* Villach, Carinthia
240589
Semitool  

Semitool  

see attached type lable 

List all items of this typeSpin Rinse Dryers

in Plate Cleaners

Semitool Spin Rinser Dryer (2010):

Single Spin Rinser Dryer as tabletop unit (s. attached picture). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories possible (p.e. wafercarriers and adapters)

1   Warstein, North Rhine-Westphalia
246568
Ramgraber  

Ramgraber  

see attached type lable 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Single-Wafer Spin Etch machine (2008):

Complete single-wafer spin etching unit manufactured by Ramgraber Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

1   Warstein, North Rhine-Westphalia
240477
SOLVISION  

SOLVISION  

PRECIS 3D 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

SOLVISION, PRECIS 3D, s/n: C1W010150609:

SOLVISION, PRECIS 3D, s/n: C1W010150609

1   F* Dresden, Saxony
241408
Ramgraber  

Ramgraber  

Inline-Sprühätzanlage 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Spray acid etching system (2008):

Complete spray acid etching unit manufactured by Ramgraber Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1 31,884.41 Warstein, North Rhine-Westphalia
218898
Unaxis  

Unaxis  

CLC200 

List all items of this typeCluster Sputtering Tools

in Single Wafer Sputtering Tools

Sputtertool Clusterline Unaxis:

CL-MET-04

Sputtertool with 6 chambers

1   F* Villach, Carinthia
248241
Suss Tamarack Scient  

Suss Tamarack Scient  

TAMARACK M423 EXCIMER 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

1   East Fishkill, New York
245281
Tel  

Tel  

Lithius Pro i 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL Lithius Pro i, 300mm, s/n: N100463, TRK1372:

TEL Lithius Pro i, 300mm, s/n: N100463, TRK1372

Coater/Developer Tool

1   Dresden, Saxony
246930
Tel  

Tel  

Lithius 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL Lithius, sn: G391405 ,300 mm, TRK1420, KrF Litho:

TEL Lithius, sn: G391405 ,300 mm, TRK1420 (ALC1420TRK)

1   Dresden, Saxony
241022
Tel  

Tel  

Lithius 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL Lithius, sn: MDG160683, ,300 mm:

Coat only Tool

1   Dresden, Saxony
248321
Tokyo Electron Ltd  

Tokyo Electron Ltd  

ACT8 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL, ACT8, 200mm, S/N 9101151:

TEL, ACT8, 200mm, S/N 9101151

1   Singapore
247197
Tel  

Tel  

LITHIUS PRO V 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL, LITHIUS PRO V, 300mm, sn: V110263, HM05:

TEL, LITHIUS PRO V, 300mm, sn: V110263, Immersion Coater/Dev

1   East Fishkill, New York
247606
Tel  

Tel  

LITHIUS 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL, LITHIUS, 300mm, sn: MDG350168:

2-Block-Machine

1   Dresden, Saxony
245289
Tokyo Electron Limit  

Tokyo Electron Limit  

8181523 

List all items of this typeManual Photoresist Coaters

in Photoresist Coaters

TEL, Mark 8, 200mm, S/N 8181523:

TEL, Mark 8, 200mm, S/N 8181523

SOG Coater. 2 coaters only.

1   Singapore
245287
Tokyo Electron Limit  

Tokyo Electron Limit  

TE8500 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

TEL, TE8500, 200mm. S/N K85500:

TEL, TE8500, 200mm. S/N K85500

1   Singapore
245232
Accretech  

Accretech  

UF200A 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

TSK - 018:

Probertyp           UF200

Hinge Manipulator          Yes

Type of tester head plate             Agilent

Prober power supply rate            230V

Chuck Type (Nickel, Gold, etc.)  Gold, Karo Pattern,

Stagepin mit Bernoulli

Network/connection     Yes

APCC Auto Probe Card Changer No

Top Side Handling            No

Cleaning pad module     Yes/ Tungsten

Chiller/ Cold Option        No

Hot Option (25-180°C)   Yes

1   Villach, Carinthia
245180
Accretech  

Accretech  

UF200A 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

TSK Prober (TSK-032):

Probertyp

UF200A

Hinge Manipulator

Yes

Type of tester head plate

Agilent

Prober power supply rate

230V

Chuck Type (Nickel, Gold, etc.)

Gold, Karo Pattern,
Stagepin mit Bernoulli

Network/connection

Yes

APCC Auto Probe Card Changer

No

Top Side Handling

No

Cleaning pad module

Yes/ Tungsten

Chiller/ Cold Option

No

Hot Option (25-180°C)

Yes

1   Villach, Carinthia
246567
Ultratech Inc  

Ultratech Inc  

LSA100A 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

ULTRATECH LSA100A, s/n: 6114, Laser Spike Anneal:

Laser Spike Anneal

1   Dresden, Saxony
240588
POWATEC  

POWATEC  

Wafer Mounter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1   Villach, Carinthia
235779
Brooks  

Brooks  

MTX2000/2 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Wafer Sorter:

Brooks MTX2000/2 Wafer Sorter

2 Robots

2 Aligners

4 IOSS WID Wafer ID Reader

 

1   Villach, Carinthia
243576
ISM Industrie Servic  

ISM Industrie Servic  

n.a. 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Workbench for the removing of photo resists:

Stainless steel workbench with ultrasonic bath for the removing of photoresisted silicon-wafers. The bench was built as custom-made product. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1   Warstein, North Rhine-Westphalia
221452
Yushin Demounter 
Yushin Demounter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Yushin Demounter:

Glas Demount Tool for 6 and 8 inch Wafer.

Glas Output Station for 25 and 50 Slot Carriers.

 

 

1   Villach, Carinthia
241953
Yushin Demounter 
Yushin Demounter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1   Villach, Carinthia


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.

Items from the following manufacturers are offered under Wafer Fabrication Equipment:
Accretech, AMAT, AP&S Customized Solutions, Applied Materials, Inc., ASM, ASML, ATV Technologie GmbH, Axcelis Technologies GmbH, Axcelis/Eaton, Balzers, Brooks, Bruce (BTI), Datacon, Ebara, FEI, FSI, Gasonics, Hitachi, Hitachi Kokusai Elec, ISM Industrie Service Mueller GmbH, Kokusai, Kufner, KVA GmbH, LAM Research Corp., Mattison, Mattson Technology, Nikon, Nikon, Novellus Systems, Oxford Instruments, POWATEC, PVA TePla, Ramgraber, Semitool, SOLVISION, Suss MicroTec, Suss Tamarack Scient, Tel, Tokyo Electron Limited, Tokyo Electronics Limited, Ultratech Inc, Unaxis