About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register View prices in  or ...    
 MENU OF PRODUCT CATEGORIES    View    Search-by-Specs   
Review Search Results for Listings under

ALL CATEGORIES


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group items into sub-categories under ALL CATEGORIES Group Listings into sub-categories under ALL CATEGORIES

List all 1822 product types under ALL CATEGORIES List all 1822 product types under ALL CATEGORIES

Did not find what you were looking for? Try an expanded search using Google

Your search for Manufacturer: AMAT
found:
  • 17 Listing(s) with a matching description:
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
238827
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

P5000:

From Chamber B following Parts are missing:

  1. RF Generator
  2. RF Match
  3. Baratron
  4. Turbo/Controller

Chamber B,C,D are universal CVD etch chambers.

1   Villach, Carinthia
240853
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

P5000:

4x Standard SNIT Chambers

1   Villach, Carinthia
218746
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Amat P5000 CVD Tool:

P500048

8 inch tool with 4 CVD chambers.

Tool still in Production until (Planned until 3.23) 

1   Villach, Carinthia
245288
AMAT  

AMAT  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, P5000, 200mm, S/N 4862:

AMAT, P5000, 200mm, S/N 4862

1   Singapore
246605
Applied Materials  

Applied Materials  

Ultima CENTURA DCVD 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959:

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959

2 chms. 

 

1   Singapore
242656
Applied Materials  

Applied Materials  

P5000 

List all items of this typeCluster PECVD Tools

in Production Tools

P5000:
CHAMBER A Universal CVDTeos
CHAMBER B Universal CVDTeos
CHAMBER CMark2Etch
CHAMBER DMark2Etch

The Tool is sold with 4 chambers and was productiv until August 2023

1   Villach, Carinthia
242682
Applied Materials  

Applied Materials  

P5000 

List all items of this typeCluster PECVD Tools

in Production Tools

P5000:
CHAMBER A x Universal CVDTeos
CHAMBER B x Universal CVDTeos
CHAMBER Dx Universal CVDTeos

Tool is sold with three chambers and was used in cleanroom until August 2023

1   Villach, Carinthia
245275
Applied Materials  

Applied Materials  

EPI5 

List all items of this typeEpitaxial Cluster Tools

in Epitaxial Reactors

AMAT EPI CENTURA HTF (EPI5):

Software Ver: B6.30

CB1 Amps: 300A

SBC Type: V452

Flow Point Model: Nano Valve

Gas Panel Type: Configurable

Wafer Size: 200mm (with conversion kit 150mm is possible)

M-Monitor: CRT

3 Chambers ATM EPI

With digital Flow-Control of the cooling systems with interlock and passphrase (Simens PLC)

HDD was upgraded to RAID System

Tool called "EPI5"

1   Villach, Carinthia
204911
Applied Materials  

Applied Materials  

Uvision 5 

List all items of this typeOptical Inspection - Other

in Optical Inspection Equipment

Applied Materials, Uvision5, Bright Field Inspection, 300mm:

Applied Materials, Uvision5, Bright Field Inspection, 300mm

In the fab, Idle

 

 

 

1   Malta, New York
248777
AMAT  

AMAT  

UVC 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

AMAT UVC, UVC551CHA, 300 mm:

AMAT UVC, UVC551CHA

1   N* Dresden, Saxony
248778
AMAT  

AMAT  

UVC 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

AMAT UVC, UVC551CHB, 300 mm:

AMAT UVC, UVC551CHB

1   N* Dresden, Saxony
248779
AMAT  

AMAT  

UVC 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

AMAT UVC, UVC551CHC, 300 mm:

AMAT UVC, UVC551CHC

1   N* Dresden, Saxony
248647
Applied Materials  

Applied Materials  

Centura 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT, Centura, 200mm, S/N 302958:

AMAT, Centura, 200mm, S/N 302958

Metal Etch. No loadports included.

1   N* Singapore
248208
Applied Materials  

Applied Materials  

G3 Lite 

List all items of this typeScanning Electron Microscopes

in Inspection Equipment

AMAT, G3 Lite, 300mm, S/N W3041:

AMAT, G3 Lite, 300mm, S/N W3041

1   Singapore
246375
Applied Materials  

Applied Materials  

CENTURA 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AMAT, Centura, sn: 402970-R3-MAC, 300mm:

AMAT Centura 300mm

1   East Fishkill, New York
246604
Applied Materials  

Applied Materials  

CENTURA EPI 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AMAT, CENTURA EPI, 200mm, S/N 21792:

AMAT, CENTURA EPI, 200mm, S/N 21792

1   Singapore
230352
Applied Materials  

Applied Materials  

P16-072 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Ancolyzer P16-072:

3 x Dosage Additive

2 x Slipstreams with pump

2 x Dosing VMS incl. Bleed & Feed

Bulk Fill Tanks

additional doses of H2O2 und H2SO4

Scanner incl. software

 

 

 

1   Dresden, Saxony

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.