About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register View prices in  or ...    
ALL CATEGORIES   Facilities Equipment   Materials Handling   Conveyors    View    Search-by-Specs   
Review Search Results for Listings under

Portable Conveyors


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group items into sub-categories under Portable Conveyors Group Listings into sub-categories under Portable Conveyors

List all 2 product types under Portable Conveyors List all 2 product types under Portable Conveyors

Did not find what you were looking for? Try an expanded search using Google

Your search for
found:
  • 404 Listing(s) with a matching description:
Displaying 101-200 of 404  Page  Show Previous Page  Show Next Page Show Last Page
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
249305
ATV Technologie GmbH  

ATV Technologie GmbH  

PEO603 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 603 tube furnace:

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1   N* Warstein, North Rhine-Westphalia
243574
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

Axcelis, Optima MD, 300mm, s/n: 083011, IMP203:

Axcelis, Optima MD, 300mm, s/n: 083011

Medium Current Implant

1   Dresden, Saxony
243575
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Axcelis, Optima MD, 300mm, s/n: 083015, IMP205:

Axcelis, Optima MD, 300mm, s/n: 083015

Medium Current Implant

1   Dresden, Saxony
240604
Axcelis/Eaton  

Axcelis/Eaton  

NV8250P 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

EATON NV8250P :

Retrofits/Upgrade:

- Z-Blok Gasbox (only 1 Gas string  available)

- Vaporizer

- Metal Reduction Kit

- AMU Xantrex PS

- Parallel Lens NV8250P

- oneDoseCup

- reduced surface Aligner

- Cryo Compressor 9600V

- timeline for sale: beginning of 2024

- without pre-vaccuum pumps

1   Regensburg, Bavaria
242850
Balzers  

Balzers  

BAK760 

List all items of this typeElectron Beam Evaporators

in Deposition Equipment

BAK760 PC101:

evaporator Vacuum chamber: BAK 760 with accessories (vaccum pump etc.)

 

for the processing of semiconductor devices (Diode, Thyristor)

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
236092
belgigast  

belgigast  

GJS-500-7  

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

VALVULA COMPUERTA GJS-500-7 DN125 PN16 BELGIGAST:

VALVULA COMPUERTA

GJS-500-7  

DN125 PN16

BELGIGAST 

2   Tarragona, Catalonia
220608
Berger  

Berger  

PSS10 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Tester, Solar Cell, Berger and Probe, Berger Manual Cell:

Tester, Solar Cell, Berger and Probe, Berger Manual Cell

 

Solar cell I-V tester and I-V tester, 2 probes clamshell testers included.

 

Can be broken down and packaged. 

1   Wilmington, Delaware
245213
BESI  

BESI  

DB 2007 SSI plus 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Die Bonder ESEC 2007 SSI Plus:

Die Bonder ESEC 2007 SSI Plus

Type : D-350

1 0.00 Batam, Riau Islands
245212
BESI  

BESI  

Die Bonder 2008xP 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Die Bonder ESEC 2008xP:

DA,ED 111,ESEC 2008 DIE BONDER

Type : D-160

1 0.00 Batam, Riau Islands
245211
BESI  

BESI  

EVO2200 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Die Bond Datacon 2200 evo:

Model:EVO2200:Die Attach Bonder

1 0.00 Batam, Riau Islands
221102
BESI  

BESI  

X-Eye SF160 SL 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

KETECA, X-Eye SF160 SL, A-SFS16FDAL170N2D735, XRAY:

Function , needed motor vacuum to up this machine

1 22,806.11 Batam, Riau Islands
221096
BESI Holland  

BESI Holland  

MK-1 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

FICO, Auto Mold System (AMS 24) 2p, MK-1:

machine is Functioning

1 54,014.47 Batam, Riau Islands
236093
BORJA  

BORJA  

GGG50 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

VALVULA DE COMPUERTA BORJA GGG50 DN125 PN-16:

VALVULA DE COMPUERTA

GGG50

DN125 PN-16

BORJA

1   Tarragona, Catalonia
249238
Box Trailer 
Box Trailer 

List all items of this typeTransportation Vehicles - Other

in Mobile Equipment

Box Trailer:

Located in Midland, MI

Box Trailer

1992 STOUGHTON VIN 1DW1A4821NS744503

Rear doors removed for faster loading/unloading

Dow Unit EQTR 755

HOURS OF OPERATION: 7:00am - 5:30pm EST, Mon - Thurs

Contact: David Callahan Office: (989) 633-0985 email: dcallahan@dow.com

Sold As-Is, Where-Is

Bidding Closes on Dow’s acceptance of a qualifying bid

Sealed Bidding starts at $300

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: This lot will be loaded onto buyer's truck free of charge. Buyer is responsible for securing the load and logistics

1   N* Midland, Michigan
235779
Brooks  

Brooks  

MTX2000/2 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Wafer Sorter:

Brooks MTX2000/2 Wafer Sorter

2 Robots

2 Aligners

4 IOSS WID Wafer ID Reader

 

1   Villach, Carinthia
240587
Brooks  

Brooks  

MTX2000/2 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Brooks Wafer Sorter:

12" Wafer sorter with:

2x Fixload V6

Multiple IOSS Wafer ID Reader

2x Brooks Robots

2x Brooks Aligner

Greenlight Inspection Station

 

1   Villach, Carinthia
231620
Bruce (BTI)  

Bruce (BTI)  

DSVA 24 

List all items of this typeHorizontal Diffusion Furnaces

in Diffusion Furnaces

Furnance BDF-41 Ofen 28B:

Diffusion Furnance for atmospheric oxid processes.

Tool is productive in use until 27.06.2022

1   Villach, Carinthia
209828
Bruker, D8FABLINE, 300mm, X-Ray Metrology 
Bruker, D8FABLINE, 300mm, X-Ray Metrology 

List all items of this typeOther Items

in Microscopes

Bruker, D8FABLINE, 300mm, X-Ray Metrology:

Bruker, D8FABLINE, 300mm, X-Ray Metrology

 

1   Malta, New York
233939
BTU  

BTU  

PY98N2 

List all items of this typeBurn-In Ovens

in Ovens

REFLOW OVEN_PY98N2:

REFLOW OVEN_PY98N2

1   F* Malacca, Malacca
236798
Buffer controller LS19 scrap 
Buffer controller LS19 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Buffer controller LS19 scrap:

LINKING BUFFER SYSTEM LS19 scrap together with S128-S131 machine Fix Asset 

1   Regensburg, Bavaria
236094
CALPEDA  

CALPEDA  

NMD 40/180C/A 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

BOMBA CALPEDA NMD 40/180C/A:

BOMBA CALPEDA NMD 40/180C/A

1   Tarragona, Catalonia
241436
Cannon Image PROGRAF Scanner Printer Model iPF8100 
Cannon Image PROGRAF Scanner Printer Model iPF8100 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Cannon Image PROGRAF Scanner Printer Model iPF8100:

Used scanner printer; printer cartridge empty; no known defects; manual comes with purchase; original lease started in 2008 so it is the assumption that this is the mfg date.

Located @ Deer Park, Texas

Condition: sold “AS IS” with no warranties or liabilities. Dow recommends viewing to make your own determination of condition.

Bidding closes on the acceptance of a qualifying bid.

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: LOADED ON BUYERS TRANSPORT FREE OF CHARGE

*Viewing is encouraged by Dow prior to submission of any bid*

1   Deer Park, Texas
242618
CFM Technologies  

CFM Technologies  

FullFlow 1/99 8100 

List all items of this typeWafer Cleaners - Other

in Wafer Cleaners

CFM, FullFlow 1/99 8100, s/n: Cont 1 1188, 200mm, Tool ID: 67R88V:

CFM, FullFlow 1/99 8100, s/n: Cont 1 1188, 200mm, Tool ID: 67R88V

1   Burlington, Vermont
242619
CFM Technologies  

CFM Technologies  

FullFlow 1/99 8100 

List all items of this typeWafer Cleaners - Other

in Wafer Cleaners

CFM, FullFlow 1/99 8100, s/n: Cont 2 1188, 200mm, Tool ID: 67R89V:

CFM, FullFlow 1/99 8100, s/n: Cont 2 1188, 200mm, Tool ID: 67R89V

1   Burlington, Vermont
242203
CFM Technologies  

CFM Technologies  

HP 8050 

List all items of this typeWafer Cleaners - Other

in Wafer Cleaners

CFM, HP 8050, s/n: 5046, 200mm, Tool ID: 93557X:

CFM, CFM HP 8050, s/n: 5046, 200mm, Tool ID: 93557X

1   Burlington, Vermont
242240
chiller 5-1 
chiller 5-1 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

chiller 5-1:

chiller 5-1

1 178,672.26 Regensburg, Bavaria
231781
Chinese MFG  

Chinese MFG  

 

List all items of this typeSingle Screw Extruders

in Extruders

Single screw extruder 30 mm diameter:

Single screw extruder 30 mm diameter

Screw may be bent

380V.  can provide 240V to 380V transformer

1   Cleveland, Ohio
245531
Conveyor for IBC's/pallets 
Conveyor for IBC's/pallets 

List all items of this typeConveyors - Other

in Conveyors

Conveyor for IBC's/pallets:

Bids accepted through June 1, 2024. DuPont Asset #70938.

Electrically powered roller conveyor for IBC's/pallets, 52" effective width, multiple sections (8'8" and 4'4" long) for bi-directional use, approximately 70 ft. total conveyor. 2-9/19 dia x .180 wall rollers, 6" roller centers, 3/4 hp explosion proof motor mounted side high, 30 FPM fixed speed, manufacturer is Haver. 

Good working condition. 

1   Hemlock, Michigan
241152
Cooper LMA-6 Reciprocating Gas Compressor Parts  
Cooper LMA-6 Reciprocating Gas Compressor Parts  

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Cooper LMA-6 Reciprocating Gas Compressor Parts :

There are over 160 different parts with varying quantities. All parts have been stored in a climate-controlled warehouse. (Cooper Model # LMA-6)

1 Compressor Crankshaft; M LINE, 6 THROW              $251.151.68        

12 Compressor Bearing; RECIPROCATING COMPR       $138,090.96        

1 Compressor Rod; CONNECTING; 13-1/4 IN;            $85,714.32          

4 Compressor Shoe; CROSSHEAD HEAVY; CS             $47,554.84

1 Compressor Assembly                                           $47,062.38          

1 Compressor Assembly                                           $42,993.20

28 Compressor Valve; POPPET – DISCHARGE            $32,321.88

32 Compressor Valve; POPPET – SUCTION                $24,480.00

12 Compressor Band; CYLINDER; RYDER/PISTO        $17,262.24          

1 Compressor Crosshead                                         $14,561.42

1 Compressor Ring; OIL THROWER; 33 INCHES        $14,274.38

12 Compressor Ring; 30-1/4 IN; CARBON FIL           $13,430.88

6 Compressor Band; CYLINDER; HYDER/PISTO         $12,186.12

6 Compressor Packing; ROD; PISTON ROD               $11,301.72

4 Compressor Packing; OIL WIPER                           $11,292.04

24 FILTER, LUB OIL,49H402K5                                $8,539.44

1 Compressor Bearing; 18.097 IN; 16.523               $7,590.00

1 Compressor Flange; CAST IRON                           $5,853.82

8 Compressor Repair Kit; DISCHARGE VALVE           $5,694.02

4 Anchor Bolt Assembly; R193 TOP STUD                $5,444.00

1 Lubricator Assembly; DRIVE SHAFT; CS                $5,384.05

Detail listing of all the spare parts or additional pictures will be provided upon request.

Condition: (sold “AS IS” with no warranties or liabilities). Dow recommends viewing to make your own determination of condition and to verify equipment specifications.

For viewing Contact Steven Helm between the hours of 6:30 am – 4:00 pm, Mon–Thurs @ 979-238-0923 or  sdhelm@dow.com to set up an appointment.

Bidding closes on the acceptance of a qualifying sealed bid.

LOAD OUT: LOADED ON BUYERS TRANSPORT FREE OF CHARGE

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

1 lot   Freeport, Texas
155443
CSK  

CSK  

630H 

List all items of this typeReverse Osmosis and Ultra Filters

in Filters

CSK Ultrapure Reverse Osmosis System:
THIS IS A DEMONSTRATION LISTING ONLY: NOT FOR SALE

The system is a CSK Ultrapure Water System. This system was designed to produce 30 GPM of ASTM Type I water. Major components include: Multi Media Unit ASME Stamped, P/N N5240-30, Tag # MM-100, Tank Size 30" x 72" Manufacturer: Alamo Twin Water Softener:P/N 4059T1, Model A450FM-29T1, Tag # WS-100, Tank Size 2 ea. 30" x 72" Manufacturer: Alamo RO Pressure Vessels ASME Stamped, P/N E8L/SP3, Tag # RO-200, RO-201, RO-202, 3 ea. 8.5" OD x 338" Manufacturer: Codeline Spiral Wound RO Elements: P/N 8822HR, RO-200, RO-201, RO-202 Manufacturer: TFC RO Booster Pump: P/N 35VD1K5HO, Tag #P-200, stainless steel vertical, 5 HP, 55 GPM nominal flow Manufacturer: G&L DI Pump: P/N 2SVD1H5HO, Tag # P-201, stainless steel vertical, 5 HP 25 GPM nominal flow Manufacturer: G&L Electrical: 2 ea. panels for PLC control. One on the RO Skid and one on the Softener skid.
1 5,001.34 F* Edgewater, NJ
238644
Datacon  

Datacon  

2200 apm 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Multi-Chip Die Bonder:

Operations and Maintenance Manuals available.

1   Villach, Carinthia
241328
DFD650&DFD651 scrap 
DFD650&DFD651 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

DFD650&DFD651 scrap:

The machine WS-13 & WS-14 is cold down, Will be replaced by DISCO6340.

Cost CentreAssetSNo.Asset nameAsset name
 (PO information)
Serial no.
9F432100047740Disco sawing machine DFD650Transfer AG0
9F432100051730Disco sawing machine DFD651200710766;07-37-D011-A-01;INTERTEC#IV-07 0901HM1191
2   Regensburg, Bavaria
244704
Disco  

Disco  

DISCO6 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

DISCO SCHLEIFMASCHINE DFG 850 / DISCO 6:

Fully automatic grinder

1   Villach, Carinthia
244705
Disco  

Disco  

DISCO9 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Grinder DFG850 Disco 9:

Fully automatic grinder

1   Vienna, Vienna
245171
DISCO CORPORATION  

DISCO CORPORATION  

DTU152 

List all items of this typeMixed Lots of Test & Measurement Equipment

in Test & Measurement Equipment

Water Temperature Control Unit (DTU)_Disco_DTU02:
Water Temperature Control Unit (DTU)_Disco_DTU02
1   Malacca, Malacca
245172
DISCO CORPORATION  

DISCO CORPORATION  

DTU152 

List all items of this typeMixed Lots of Test & Measurement Equipment

in Test & Measurement Equipment

Water Temperature Control Unit (DTU)_Disco_DTU08:
Water Temperature Control Unit (DTU)_Disco_DTU08
1   Malacca, Malacca
245173
DISCO CORPORATION  

DISCO CORPORATION  

DTU152 

List all items of this typeMixed Lots of Test & Measurement Equipment

in Test & Measurement Equipment

Water Temperature Control Unit (DTU)_Disco_DTU01:
Water Temperature Control Unit (DTU)_Disco_DTU01
1   Malacca, Malacca
245174
DISCO CORPORATION  

DISCO CORPORATION  

DTU1531 

List all items of this typeMixed Lots of Test & Measurement Equipment

in Test & Measurement Equipment

Water Temperature Control Unit (DTU)_Disco_DTU05:
Water Temperature Control Unit (DTU)_Disco_DTU05
1   Malacca, Malacca
245175
DISCO CORPORATION  

DISCO CORPORATION  

DTU1531 

List all items of this typeMixed Lots of Test & Measurement Equipment

in Test & Measurement Equipment

Water Temperature Control Unit (DTU)_Disco_DTU07:
Water Temperature Control Unit (DTU)_Disco_DTU07
1   Malacca, Malacca
245176
DISCO CORPORATION  

DISCO CORPORATION  

DTU1531 

List all items of this typeMixed Lots of Test & Measurement Equipment

in Test & Measurement Equipment

Water Temperature Control Unit (DTU)_Disco_DTU06:
Water Temperature Control Unit (DTU)_Disco_DTU06
1   Malacca, Malacca
245177
DISCO CORPORATION  

DISCO CORPORATION  

DTU1531 

List all items of this typeMixed Lots of Test & Measurement Equipment

in Test & Measurement Equipment

Water Temperature Control Unit (DTU)_Disco_DTU04:
Water Temperature Control Unit (DTU)_Disco_DTU04
1   Malacca, Malacca
244790
Disco corporation Ja  

Disco corporation Ja  

Disco DFD660/670 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

DICER_DISCO DFD670_SM10:

DICER_DISCO DFD670_SM10

1   Regensburg, Bavaria
247751
Driverless transport system 
Driverless transport system 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Driverless transport system:

Manufacturer: ATAP-IHC, Model: CX-01

Manufacturing date: Jul 05

Condition: good, complete, no damages

Sales incl. reflectors and spare package

Dimensions 1,2x0,6x0,5m

Weight: 192 kg

Anschluss: 230V-L1-N-PE

Strom:16A

Sicherung: C16A

Nutzlast: 90kg

 

2   Regensburg, Bavaria
236443
DS-TEST 3sets X-Ray Machine scrap 
DS-TEST 3sets X-Ray Machine scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

DS-TEST 3sets X-Ray Machine scrap:

Based on VRFC2212 scraped plan, these machines already shortage for key parts and cannot support for normal production

3   Regensburg, Bavaria
246602
Ebara  

Ebara  

EPO-2228 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

EBARA, EPO-2228, 200mm, S/N PTD90457EX:

EBARA, EPO-2228, 200mm, S/N PTD90457EX

Oxide CMP

1   Singapore
248240
Ebara  

Ebara  

F-REX300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   East Fishkill, New York
240475
ECEC  

ECEC  

Micron2 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

ECEC, Micron2, s/n:15-308, Assembly, Die Attach:

ECEC, Micron2, s/n:15-308, Assembly, Die Attach

1   Dresden, Saxony
244542
EDA Industries (Asia  

EDA Industries (Asia  

SM 24 FH 150 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Burn In Oven System:

Burn In Oven System

1   Regensburg, Bavaria
241354
EDA Industries (Asia  

EDA Industries (Asia  

SM-24 FH150C 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Burn In Oven System:

Burn In Oven System

1   Regensburg, Bavaria
242526
Edax  

Edax  

TEC. 12T/20T/20ST, 136-5 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

EDAX-EDS PV9761 / 70 :

30 mm^2 Detektorfläche

inkl. Steuerrechner

1   Regensburg, Bavaria
248130
EKRA  

EKRA  

Serio4000 

List all items of this typeScreen Printers

in Semiconductor / Hybrid Assembly Equipment

EKRA Serio 4000:
  • Equipment for automated stencil printing 
  • Designed for automated input and output
  • Printing format min 80x50mm, max 510x510
  • Not suitable for manual loading and unloading
1   N* Regensburg, Bavaria
243278
EKRA  

EKRA  

XM 

List all items of this typeScreen Printers

in Semiconductor / Hybrid Assembly Equipment

Screen & Stencil Printer Ekra (Asys Group):

The XM is a manual screen and stencil printer
dedicated to small batch size production and
prototyping purposes. The sideways guided squeegee
traverse and the adjustable squeegee pressure
ensure a constant, high quality print. Simple
operation and short set-up times all belong to the
application oriented concept.
Stiff as well as flexible materials such as PCBs,
glass, ceramic or metal up to a thickness of 30 mm
can be printed. Due to these characteristics the
XM print system is the ideal application for small
batch series and for prototyping.

1   Regensburg, Bavaria
239383
ENTEGRIS  

ENTEGRIS  

N/A 

List all items of this typeMaterials Handling Equipment - Other

in Materials Handling and Storage Equipment

Entegris, FOUP N2 Purge Station, 300mm:

Entegris, FOUP N2 Purge Station, 300mm

1   Dresden, Saxony
244796
EO Technics  

EO Technics  

BSM363G 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

LASER MARK_EO TECHNICS BSM363_LM04:

LASER MARK_EO TECHNICS BSM363_LM04

1   Regensburg, Bavaria
236878
ESEC3100 WIRE BONDER 
ESEC3100 WIRE BONDER 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

ESEC3100 WIRE BONDER:

GT line scrap,9 sets of ESEC3100 

9   Regensburg, Bavaria
244510
ESSEM TEC  

ESSEM TEC  

Convection Reflow Oven 

List all items of this typeBurn-In Ovens

in Ovens

REFLOW OVEN soldering station_essemtec RO06:

REFLOW OVEN  soldering station

1 16,871.93 Malacca, Malacca
230317
FEI  

FEI  

ExSolve CLM next Gen 

List all items of this typeMicroscopes - Other

in Optical Microscopes

FEI, ExSolve CLM next Gen, 300mm, S/N 9923535:

FEI, ExSolve CLM next Gen, 300mm, S/N 9923535

1   Malta, New York
230318
FEI  

FEI  

ExSolve CLM next Gen 

List all items of this typeMicroscopes - Other

in Optical Microscopes

FEI, ExSolve CLM next Gen, 300mm, S/N 9923609:

FEI, ExSolve CLM next Gen, 300mm, S/N 9923609

1   Malta, New York
244283
FEI  

FEI  

Helios NanoLab 1200HP 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

FEI, Helios NanoLab 1200HP, sn: 9921505, Failure Analysis:

FEI, Helios NanoLab 1200HP, sn: 9921505, Failure Analysis

1   Malta, New York
244623
FICO AMS-11-MR1 FC-17 scrap 
FICO AMS-11-MR1 FC-17 scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

FICO AMS-11-MR1 FC-17 scrap:

 

Cost CentreAssetSNo.Asset name
9F554100057380FICO AMS-11-MR MOLDING
9F554100057391FICO MOLD DIE
1   Regensburg, Bavaria
239219
Film Extrusion Production Line 
Film Extrusion Production Line 

List all items of this typeProcess Plants

in Chemical Process Equipment

Film Extrusion Production Line:

Bids accepted through 12.31.2024

Decommissioned production line for film extrusion.

Located in Monroe, NC.

Equipment manufactured by Pyradia in 2003 and has <100,000 hrs.

Good condition. Decommissioned in 2010 and was in working order at the time.

 

1   Monroe, North Carolina
248907
Fischerscope  

Fischerscope  

X-ray XDV-u 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Fischerscope, X-ray XDV-u, sn: SN100003425:

Fischerscope, X-ray XDV-u, sn: SN100003425

1   East Fishkill, New York
245290
FSI  

FSI  

Excalibur ISR 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094:

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094

1   Singapore
248648
Gasonics  

Gasonics  

PEP-4800DL 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Concept Part Solutions, Inc., PEP-4800DL, 200mm, S/N M860260:

Concept Part Solutions, Inc., PEP-4800DL, 200mm, S/N M860260

No loadports included.

1   Singapore
245530
gaspar  

gaspar  

34970; 2HTNSD-7.5 

List all items of this typeStainless Steel Tanks

in Tanks

Tank, Stainless, 2863 gal:

Bids accepted through June 1, 2024 - DuPont Asset #NA

Stainless Steel Gaspar tank, weight empty = 5800 lbs, 84"O.D. x 90 5/8" S/S - 2863 gal. capacity. MAWP 76 psi @ -20/100F ASME pressure vessel; Chemineer Agitator Top entering turbine agitator driven by a 7.5 hp 1800 rpm TEFC motor. Wetted components 316 SS. Equipment has not been used in 10+ years. Manual & literature available.

1   Hemlock, Michigan
233426
Genmark Automation  

Genmark Automation  

Porta300P 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Genmark, Porta300P, 2 loadports:

Genmark, Porta300P, 2 loadports

with some spare parts. See pdf for details.

1   Malta, New York
220759
Haake  

Haake  

RS-1 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

VISCOMETER-HAAKE-RS-1 :

VISCOMETER-HAAKE-RS-1  

Not installed. Has been crated for 5 years. 

A rotational method of measuring the rheology (viscosity) of samples. Has the ability to heat the sample.

1   Wilmington, Delaware
180112
Hall Tank Co.  

Hall Tank Co.  

AH-16 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Deaerator Hall Tank Co. :

Located in Louisville, KY
Hall Tank Co Deaerator
Model AH-16
SN 104-91-2
MAX Pressure 30@ 650
Year 1991
Nat’l BD. 284  
Never been put in service
Contact is Paul Blackburn - PBlackburn@Dow.com
Made for Cochrane Environmental Systems


1   F* Houston, Texas
244197
Hanmi Semiconductor  

Hanmi Semiconductor  

Laser Cleaning 1.0 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

LASER CLEANING_HANMI LASER CLEANING 1.0_HLC001:

LASER CLEANING_HANMI LASER CLEANING 1.0_HLC001

1   Regensburg, Bavaria
238161
Highland Tank  

Highland Tank  

 

List all items of this typeCarbon Steel Tanks

in Tanks

Fuel Oil Tank, 30,000 with containment dike:

Bids accepted through Dec 31, 2023.

30,000 gal horizontal fuel oil tank with containment dike.

carbon steel, with secondary containment 126" dike built in 2007

good condition

width 12'

depth 46'6" plus dike

height 161"

 Photos available on request.

1   Wilmington, Delaware
238163
Highland Tank  

Highland Tank  

 

List all items of this typeCarbon Steel Tanks

in Tanks

Fuel Oil Tank, 39,000 with containment dike:

Bids accepted through Dec 31, 2023.

39,000 gal horizontal fuel oil tank with containment dike.

carbon steel, with secondary containment 144" dike built in 2014

like new condition

width 13'

depth 46'2" plus dike

height 163"

 Photos available on request.

1   Wilmington, Delaware
239777
Hiller GmbH  

Hiller GmbH  

DP484/11012/FD HILLER DECAPRESS DP 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

DP484/11012/FD HILLER DECAPRESS DP:

 

    • Technic special customized for Infineon? no
    • Any damages/deficits known? no
    • Manuals existing? yes
    • Last time in production/function? 2022
    • Any upgrades / extensions? no
    • Any consumables at end of lifetime? not known
    • Any refurbishments done? no
    • Was there a significant system failure in the last three years? no
    • Used: only for test
    • Any contaminations known? Siliciumdioxid
1   Regensburg, Bavaria
204280
Hitachi  

Hitachi  

M-8190XT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Hitachi, M-8190XT, 300mm, Plasma Etch:

Hitachi, M-8190XT, 300mm, Plasma Etch

3 Chambers

In Fab, Warm Idle

1   Malta, New York
245141
Hitachi  

Hitachi  

REM-S 9380-2 

List all items of this typeWafer Manufacturing Metrology Equipment - Other

in Wafer Manufacturing Metrology Equipment

METV34-01 (REM-S 9380-2):

Microscope for Critical Dimension

1   Villach, Carinthia
243313
Hitachi Kokusai Elec  

Hitachi Kokusai Elec  

DJ-1236VN-DF 

List all items of this typeVertical LPCVD Furnaces

in LPCVD Furnaces

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm, s/n: DN23300, FVX2488:

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm, FVX2488

Vertical LPCVD Furnace

1   Malta, New York
237745
HMI  

HMI  

eScan 500 

List all items of this typeScanning Electron Microscopes

in Inspection Equipment

HMI eScan 500, sn: ML07114, Defect Review, 300mm:

HMI eScan 500, sn: ML07114, Defect Review, 300mm

1   Malta, New York
202816
HSEB  

HSEB  

Axiospect 301 

List all items of this typeWafer Inspection Microscopes

in Optical Microscopes

HSEB, Axiospect 301, Optical Microscope, 300mm:

HSEB, Axiospect 301, Optical Microscope, 300mm

Cold.  Not working parts include: 

  • Tango Controller (Microscope Stage controller
  • Joystick and keyboard controller
  • Micromotor for fingers edge gripper
  • few powers supplies

The tool was running with Windows XP professional 2002 service pack 3.

1   Malta, New York
202817
HSEB  

HSEB  

Axiospect 301 

List all items of this typeWafer Inspection Microscopes

in Optical Microscopes

HSEB, Axiospect 301, Optical Microscope, 300mm:

HSEB, Axiospect 301, Optical Microscope, 300mm

1   Malta, New York
242276
Inliner Machine scrap 
Inliner Machine scrap 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Inliner Machine scrap:

SOT23 2upless project already implemented from Aug’22 onwards. The capacity of this line were removed as well. Below EQs can be scrapped.

Cost CentreAssetSNo.Asset nameAsset name
 (PO information)
Serial no.Cap.dateAge of EQ Curr.acq. value
(PMB)
Curr.net book.value.
(PMB)
9F373100066060Inliner Machine8500018761;transfer from IFMY;  6JM/MAL11-073696IL-172011/12/2711.63,241,431.510.00
9F373100066061freight: Inliner Machine8500018761;transfer from IFMY;  6JM/MAL11-073696IL-172011/12/2711.60.010.00
1   Regensburg, Bavaria
244317
Innolas GmbH  

Innolas GmbH  

C3000DPS 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Innolas Wafermarker:

Wafermarker C3000DPS

 

Marking for 300mm Wafers

1 32,592.27 Villach, Carinthia
240478
Instron  

Instron  

5564 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

INSTRON, 5564, s/n: 5564J2710, Pull Tester:

INSTRON, 5564, s/n: 5564J2710, Pull Tester

1   Dresden, Saxony
235091
ISCO  

ISCO  

3700 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Muestreador Aut.Model 923-High Capacity Power Pack - Isco 3700:

Muestreador de Aguas Residuales - Isco 3700 -

Modelo 923

Alta Capacidad  (High Capacity Power Pack)

1   Tarragona, Catalonia
243576
ISM Industrie Servic  

ISM Industrie Servic  

n.a. 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Workbench for the removing of photo resists:

Stainless steel workbench with ultrasonic bath for the removing of photoresisted silicon-wafers. The bench was built as custom-made product. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1   Warstein, North Rhine-Westphalia
244888
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I06:

HANDLER_ISMECA NX32W_T-I06

1   Regensburg, Bavaria
244890
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I12:
HANDLER_ISMECA NX32W_T-I12
1   Regensburg, Bavaria
244893
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I07:

HANDLER_ISMECA NX32W_T-I07

1   Regensburg, Bavaria
244894
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I01:

HANDLER_ISMECA NX32W_T-I01

1   Regensburg, Bavaria
244946
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I04:

HANDLER_ISMECA NX32W_T-I04

1   Regensburg, Bavaria
244947
Ismeca  

Ismeca  

NX32W 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

HANDLER_ISMECA NX32W_T-I05:

HANDLER_ISMECA NX32W_T-I05

1   Regensburg, Bavaria
248937
Kardex  

Kardex  

Shuttle XP 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Kardex Shuttle Xp:

2 pieces Kardex Shuttle XP

1   N* Villach, Carinthia
248938
Kardex  

Kardex  

Shuttle XP 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Kardex Shuttle Xp:

Kardex Shuttle XP with  many Trays

1   N* Villach, Carinthia
236359
Keithley  

Keithley  

S425 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S425, Kerf Parametric Tester, s/n: QMO2593:

KEITHLEY, S425, Kerf Parametric Tester, s/n: QMO2593

1   Burlington, Vermont
236360
Keithley  

Keithley  

S425 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S425, Kerf Parametric Tester, s/n: QMO2591:

KEITHLEY, S425, Kerf Parametric Tester, s/n: QMO2591

1   Burlington, Vermont
238973
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2792:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2792

1   Burlington, Vermont
238974
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2593:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2593

1   Burlington, Vermont
238975
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2738:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2738

1   Burlington, Vermont
238976
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2734:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2734

1   Burlington, Vermont
238977
Keithley  

Keithley  

S475 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2733:

KEITHLEY, S475, Kerf Parametric Tester, s/n: QMO2733

1   Burlington, Vermont
238978
Keithley  

Keithley  

S600 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S600, 25 pin kerf tester, s/n: QMO4104:

KEITHLEY, S600, 25 pin kerf tester, s/n: QMO4104

1   Burlington, Vermont
242614
Keithley  

Keithley  

S630 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S630, 200mm, Parametric Test System, s/n: QMO4105:

KEITHLEY, S630, Parametric Test System, s/n: QMO4105

1   Burlington, Vermont
Displaying 101-200 of 404  Page  Show Previous Page  Show Next Page Show Last Page

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.