About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register View prices in  or ...    
ALL CATEGORIES   Semiconductor Mfg    View    Search-by-Specs   
Review Search Results for Listings under

Metrology Equipment


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group items into sub-categories under Metrology Equipment Group Listings into sub-categories under Metrology Equipment

List all 58 product types under Metrology Equipment List all 58 product types under Metrology Equipment

Did not find what you were looking for? Try an expanded search using Google

Your search for
found:
  • 403 Listing(s) with a matching description:
Displaying 201-300 of 403  Page  Show First Page Show Previous Page  Show Next Page Show Last Page
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
242615
Keithley  

Keithley  

S630 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S630, 200mm, Parametric Test System, s/n: QMO4106:

KEITHLEY, S630, Parametric Test System, s/n: QMO4106

1   Burlington, Vermont
242655
Keithley  

Keithley  

S630 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

KEITHLEY, S630, 200mm, Parametric Test System, s/n: QM04103:

KEITHLEY, S630, 200mm, Parametric Test System, s/n: QM04103

1   Burlington, Vermont
192418
Keithley Instruments  

Keithley Instruments  

S425 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

Keithley, S450, Kerf Parametric Tester, S/N: QMO2573:

Keithley, S450, Kerf Parametric Tester, S/N: QMO2573

1   Burlington, Vermont
192419
Keithley Instruments  

Keithley Instruments  

S425 

List all items of this typeReliability Test Equipment - Other

in Reliability Test Equipment

Keithley, S425, Kerf Test, S/N QMO2572:

Keithley, S425, Kerf Test, S/N QMO2572

Keithley standard matrix kerf tester

1   Burlington, Vermont
242433
Keteca  

Keteca  

Keteca PP200 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

LASER MARK_P&P KETECA PP200_LM08:
LASER MARK_P&P KETECA PP200_LM08
1   Regensburg, Bavaria
242857
KLA  

KLA  

eS32 

List all items of this typeTest & Measurement - Other

in Test & Measurement Equipment

KLA eS32 E-beam Wafer Inspection 200mm:

eS32 is a top-of-the-line mask and wafer inspection equipment that is designed to meet the most stringent quality standards for semiconductor product manufacturing. This system provides comprehensive, high-resolution inspection of both masks and wafers with unparalleled accuracy. The unit uses a proprietary optical probe to scan masks and wafers to detect defects and irregularities with a resolution reaching down to 1 micron. This high-precision scanning allows for comprehensive inspection of the entire surface of both the mask and wafer. The machine also includes powerful image processing and analysis algorithms which automatically detect defects, categorize them, and track their locations. KLA eS32 also includes a suite of automated defect correction tools which can rapidly repair standard and complex defects. In addition to its exhaustive defect detection capabilities, this tool also allows for statistical process control (SPC) analysis to ensure production processes maintain consistent quality and accuracy over time. TENCOR ES 32 also includes a user-friendly interface that makes it easy to operate and manage the asset. This user interface is highly customizable, allowing users to quickly change model settings, view detailed inspection reports, and receive real-time notifications of detected defects. In summary, KLA ES 32 is a high-performance mask and wafer inspection equipment that offers superior detection accuracy, automated defect correction, comprehensive statistical process control (SPC) analysis, and an easy-to-use user interface. This system can be used to monitor production lines, resulting in improved manufacturing quality, increased yield, and cost savings.

1   Austin, Texas
248207
KLA  

KLA  

QTX-300 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

KLA, QTX-300, 300mm, S/N 1006304891:

KLA, QTX-300, 300mm, S/N 1006304891

1   Singapore
244539
KLA Tencor  

KLA Tencor  

UV1250SE 

List all items of this typeUV-Visible Spectrophotometers

in Spectrophotometers

1   Villach, Carinthia
237748
KLA-Tencor  

KLA-Tencor  

AMI2900 

List all items of this typeWafer Inspection Microscopes

in Optical Microscopes

KLA AMI2900, sn: V000283, 300mm:

KLA AMI2900, sn: V000283, 300mm

KLA Advanced Macro Inspection Module

 

1   Malta, New York
202834
Kokusai  

Kokusai  

DJ-1236VN-DF 

List all items of this typeVertical LPCVD Furnaces

in LPCVD Furnaces

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm:

HITACHI KOKUSAI, DJ-1236VN-DF, 300mm

1   Malta, New York
249206
Kufner  

Kufner  

3522 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Single-Wafer Spin Etch machine (2008):

Complete single-wafer spin etching unit manufactured by Kufner Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1   N* Warstein, North Rhine-Westphalia
249207
Kufner  

Kufner  

3681 Nr. RAS6 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Single-Wafer Spin Etch machine (2009):

Complete single-wafer spin etching unit manufactured by Kufner Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1   N* Warstein, North Rhine-Westphalia
242851
Kufner  

Kufner  

Batch Etching Basin (KOH) 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Batch Etching Basin (KOH):

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing si-wafers after grinding (KOH). Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
242940
Kufner  

Kufner  

Batch Etching Basins 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Dip-etch wet benchs:

4x Dip-etch wet bench (1998)

 

Complete dip acid etching unit manufactured by Kufner, Germany. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing bonded si-wafers up to 80mm diameter.

Delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1   Warstein, North Rhine-Westphalia
249208
Kufner  

Kufner  

RÄS7 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Single-Wafer Spin Etch machine (2010):

Complete single-wafer spin etching unit manufactured by Kufner Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1   N* Warstein, North Rhine-Westphalia
242852
KVA GmbH  

KVA GmbH  

automatisierte Si-Pellets Ätzbank 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Pellet Edge Batch Etch Tool:

Automtic dip-etch bench (2014)

 

Complete dip acid etching unit manufactured by KVA, Austria. The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing semiconductor devices (Diode, Thyristor)

up to 58 mm, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
247698
Lab Freezer 
Lab Freezer 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Lab Freezer:

Located in Midland, MI

Ultra Low Freezer Fisher UXF50086D

Ultra Low temperature freezer, great for storing samples.

208/230 Volts,

HOURS OF OPERATION: 7:00am - 5:30pm EST, Mon - Thurs

Contact: David Callahan Office: (989) 633-0985 email: dcallahan@dow.com

Sold As-Is, Where-Is

Bidding Closes on Dow’s acceptance of a qualifying bid

Sealed Bidding starts at $300

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: This lot will be loaded onto buyer's truck free of charge. Buyer is responsible for securing the load and logistics

1   Midland, Michigan
239809
LAM Research Corp.  

LAM Research Corp.  

2300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM 2300 Mainframe:

›1 x AC Rack

›1 x Atmospheric transfer module

›1 x Vacuum transfer module

›3 x Loadports (Ergo 200mm)

1   Villach, Carinthia
219677
LAM Research Corp.  

LAM Research Corp.  

INOVA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, INOVA, 300mm, S/N 007823-0389:

LAM, INOVA, 300mm, S/N 007823-0389

1   Malta, New York
245286
LAM Research Corp.  

LAM Research Corp.  

Rainbow 4428 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, Rainbow 4428, 200mm, S/N 3533 :

LAM, Rainbow 4428, 200mm, S/N 3533 

1   Singapore
245285
LAM Research Corp.  

LAM Research Corp.  

TCP9408SE 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

LAM, TCP9408SE, 200mm, S/N 4545:

LAM, TCP9408SE, 200mm, S/N 4545

1   Singapore
219675
LAM Research Corp.  

LAM Research Corp.  

Vector Express 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

LAM, Vector Express, 300mm, S/N D22437A, Ashable Hard Mask CVD:

LAM, Vector Express, 300mm, S/N D22437A, Ashable Hard Mask CVD

1   Malta, New York
241155
Lintec  

Lintec  

RAD-2500M/8 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Lintec Corp. RAD-2500M/8, 200mm, s/n: D1S-2575-AW:

Lintec Corp. RAD-2500M/8, 200mm, s/n: D1S-2575-AW

1   Dresden, Saxony
205912
Matrix Corp  

Matrix Corp  

Matrix X3 

List all items of this typeMetrology Equipment - Other

in Metrology Equipment

Matrix X3 X-Ray System:

high speed X-Ray system 

1   Regensburg, Bavaria
241157
Mattison  

Mattison  

MILLIOS HVM 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Mattson, MILLIOS HVM, 300mm, s/n: 11043001, 09130001, 09250001:

Mattson, MILLIOS HVM, 300mm, s/n: 11043001, 09130001, 09250001

1   Malta, New York
247204
Mattson Technology  

Mattson Technology  

AST 2800 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

Mattson, AST 2800, 200mm, S/N 97060361:

Mattson, AST 2800, 200mm, S/N 97060361

1   Singapore
244401
MBL  

MBL  

RAM 100 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

TAPER_MBL RAM-100_PST001:

TAPER_MBL RAM-100_PST001

1   Regensburg, Bavaria
224568
Mechatronic 300mm Sorter 
Mechatronic 300mm Sorter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

Mechatronic 300mm Sorter:

Sort-05

Mechatronic Sorter for 200 and 300mm

With bernoulli Aligner for 8 and 12 inch and IOSS Camera.

And greenlight inspection.

With 4 Synfoniy 300mm Loadports, 2 on the front side and 2 on the backside.

1   Villach, Carinthia
242031
Mechatronics Systemt  

Mechatronics Systemt  

TWS 150/200 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Mechatronics TWS 150/200:

no damages, but EOL tool

complete

last time in production: 27.07.2023 CM Sorter 8"TWS150/200

technical data: 

Maße
Höhe 2450/B1750/T1600mm
Gewicht
980kg
Anschluss
230V-L1-N-PE
Strom
16A
Sicherung
C16A

 

1   Regensburg, Bavaria
243275
Metler Toledo  

Metler Toledo  

05-057 

List all items of this typeMaterials Handling Equipment - Other

in Materials Handling and Storage Equipment

Metler Toledo Model 05-057 Weight Scale:

Bids accepted through Oct 25, 2023.

Metler Toledo Weight Scale Model 05-057

working fair condition

1   Hayward, California
248140
Misc. Item Sale 
Misc. Item Sale 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Misc. Item Sale:

Misc. Item Sale:

Material List is described in the "extended description" spreadsheet below.

Location: Hayward, CA

INTERNAL DOW STAFF

Items may be redeployed to your facility by choosing from the available list attached below. Please contact Nathanael Neil at nneil@dow.com

PUBLIC BUYERS

Internal users request for material will be given priority after which bids from members of the public will be considered in the order they were received. 

Sold “As Is-Where Is” with no warranties or liabilities. Dow recommends viewing to make your own determination of condition.

Bidding closes on Dow’s acceptance of a qualifying bid

DOW RESERVES THE RIGHT TO REJECT ANY AND/OR ALL BIDS

LOAD OUT: Buyer is responsible for loading, securing the load, and logistics.

1   Hayward, California
221743
Mitutoyo  

Mitutoyo  

QVT1-X606P1L-D 

List all items of this typeOptical Test & Measurement Equipment - Other

in Optical Test & Measurement Equipment

For Sale! Mitutoyo CNC Vision Measuring Machine :

In use until October 2020, when it was phased out from production. Since then, it's stored in a dry and heated environment. Besides minor marks and scratches caused by trolleys, it's in an excellent condition. It was maintained regularly by site maintenance, and went through yearly inspection by OEM, including calibration.

Standard machine, with special adapter plates used at Infineon. It's with software for series production, able to measure multiple modules in row. Saves date to local CSV file format and writing measurement data to Orbit system.,

Possibility to upgrade tactile measuring function!

1 59,752.50 Warstein, North Rhine-Westphalia
200892
Multitest  

Multitest  

MT2168 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Test Tool ABC development project:


Test Tool from multitest was rarely used for development project ABC

- Excellent Condition (Basically new)

- Complete (no missing parts) 
- No Damages

LOCATION: Tijuana Mexico


Description: 

- Fully testing COOLiR2DIE at high current and voltage presents challenges for a test system and handler
> Test team chose the LEMSYS test solution
> Tester capability:  2000A/2000V for static and 2000A/1500V for dynamic testing
- The handler choice was limited due to the package size and exposed die
- Multitest with vertically docked pick & place handlers
> A custom manipulator from ESMO was required to provide a working interface on a “headless” LEMSYS tester




1 98,026.26 Tijuana, Baja California
207180
Nanofocus µsprint 3d inspection system with Baumann Handler 
Nanofocus µsprint 3d inspection system with Baumann Handler 

List all items of this typeOptical Inspection - Other

in Optical Inspection Equipment

1   Regensburg, Bavaria
241510
NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm 
NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm 

List all items of this typeOther Items

in Microscopes

NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm:

NANOMETRICS, UNIFIRE 7900-L, s/n: Z3D-7900-0412-0028, 300 mm

1   Malta, New York
249044
Nexx Systems  

Nexx Systems  

APOLLO HP 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

NEXX APOLLO HP, 300mm, s/n: 379:

TEL NEXX APOLLO HP PVD SYSTEM SPT03

1   East Fishkill, New York
249043
Nexx Systems  

Nexx Systems  

STRATUS S300 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

NEXX STRATUS S300, 300mm, s/n: S00000131:

PLT03 NEXX STRATUS S300-FX ELECTROPLATING TOOL with ANCOSYS AUTOMATED ANALYSIS AND DOSING Unit

1   East Fishkill, New York
245178
NGK FILTECH  

NGK FILTECH  

MEGCON 2 

List all items of this typeWire Bonding Equipment - Other

in Wire Bonders

CO2 BUBBLER_NGK PRCII 2000ACDS_MBCO2-003:
CO2 BUBBLER_NGK PRCII 2000ACDS_MBCO2-003
1   Malacca, Malacca
245179
NGK FILTECH  

NGK FILTECH  

MEGCON 2 

List all items of this typeWire Bonding Equipment - Other

in Wire Bonders

CO2 BUBBLER_NGK FRCII 2000ACDES_MBCO2-006:
CO2 BUBBLER_NGK FRCII 2000ACDES_MBCO2-006
1   Malacca, Malacca
241914
Nikon  

Nikon  

MM-200 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

Nikon Mikroskop MM-200 / Kamerasteuergerät DS-L2 / Kamerakopf DS-xx:

Nikon Mikroskop MM-200 / Kamerasteuergerät DS-L2 / Kamerakopf DS-xx (2010)

 

Complete Nikon microscope-system. The system is still in use, therefor there is the possibility for a sale on inspection.

 

You can see the components on the pictures attached, there are also user manuals available (as paper books).

1   Warstein, North Rhine-Westphalia
248320
Nikon  

Nikon  

NSR-2205EX14C 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Nikon, NSR-2205EX14C, 200mm, S/N 7573120:

Nikon, NSR-2205EX14C, 200mm, S/N 7573120

1   Singapore
247605
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

NIKON, NSR-S208D, 300mm, s/n: S62 0290202:

Lithography Step and repeat scanning system

1   Dresden, Saxony
245214
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Nikon, NSR-S208D, 300mm, S/N 8732041:

Nikon, NSR-S208D, 300mm, S/N 8732041

1   Singapore
247027
Nikon  

Nikon  

NSR-S208D 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

NIKON, NSR-S208D, 300mm, s/n: 8732048:

NIKON, NSR-S208D, 300mm, s/n: 8732048

Lithography Step and repeat scanning system

1   Dresden, Saxony
247203
Nissan Motor  

Nissan Motor  

UM02L20U 

List all items of this typeOther Items and Mixed Lots

in Machine Tools

Nissan Forklift (Battery) for Sale:

Nissan Forklift acquired in year 1995 (29 years) by IFMY LOG. 

Currently this forklift undergoing yearly maintenance and still in working condition. It is battery operated. 

IFMY LOG decided to let go this forklift as it is, please refer to the pictures attached. 

 

1 0.00 Malacca, Malacca
246474
Nitto  

Nitto  

NEL-DR3000IV 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

NITTO, NEL-DR3000IV, sn: B491L22, 300mm, BSTA2:

NITTO, NEL-DR3000IV, sn: B491L22, 300mm, BSTA2

Nitto Backside Taper

1   East Fishkill, New York
246546
Nitto Denko  

Nitto Denko  

DR8500-II 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Nitto Taper DR8500-II:

Nitto Taper DR8500-II

1   Regensburg, Bavaria
244703
Nitto Denko  

Nitto Denko  

PFM5 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

FOLIERANLAGE NITTO DR - 8500 / PFM5:

foiling system

1   Villach, Carinthia
244702
Nitto Denko  

Nitto Denko  

PFP10 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

THWV35-01 - PFP10 :

Delaminator

1   Villach, Carinthia
244701
Nitto Denko  

Nitto Denko  

PFP3 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

NITTO HR - 8500 / PFP3:
Delaminator
1   Villach, Carinthia
240450
NITTO Wafer Laminator 2018 
NITTO Wafer Laminator 2018 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

NITTO Wafer Laminator 2018:

NITTO Wafer Laminator 2018

Nel System

Type: DR8500iii

1   Regensburg, Bavaria
248194
Nordson  

Nordson  

DR060 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

DIMA DR 060 Dispenser:

DIMA DR 060 Dispenser

1   N* Regensburg, Bavaria
248239
Nova  

Nova  

T500 

List all items of this typeInterferometers

in Optical Inspection Equipment

NOVA T550, sn: 3800MN -105949, 300mm:

Nova T500 3LP Single-MU Basic Tool

1   East Fishkill, New York
223074
Novellus Systems  

Novellus Systems  

Concept Two SPEED 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Novellus Concept Two SPEED (shrink):

1 Mainframe w/ 3 Chambers 

Damages/Deficites: Chamber Turbo Pumps & HF/LF Generators 
EOL: Turbo Pumps

 

no significant failures between last 3 years

1   Dresden, Saxony
239647
Novellus Systems  

Novellus Systems  

INOVA NEXT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

NOVELLUS, INOVA NEXT, s/n M23321A, 300mm:

NOVELLUS, INOVA NEXT, s/n M23321A, 300mm

1   Malta, New York
243683
Olympus  

Olympus  

BH2 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

1   Regensburg, Bavaria
243581
Olympus  

Olympus  

Olympus BH-2II 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

OM Olympus BH-2II:

completeness: partial

1   Regensburg, Bavaria
204578
Orbotech  

Orbotech  

Ultra Discovery VM 

List all items of this typeMetrology Equipment - Other

in Metrology Equipment

AOI Orbotech Ultra Discovery VM:

Simple, Intelligent, Powerful

Ultra Discovery VM delivers Simple, Intelligent and Powerful AOI performance with 10µm line/space inspection capabilities for FC-BGA, PBGA, CSP and COF production.

Delivering super clear images essential for capturing the finest defects, the system achieves outstanding AOI results with minimal effort or training, even on complicated panels. Most of manufacturers’ valuable time on the system is spent inspecting panels. Logic false calls are virtually eliminated and overall false calls are minimized saving precious verification time.

Benefits

  • High throughput and superior detection with minimal number of false calls
  • Especially designed for inspection of the finest lines down to 10μm
  • Quick set-up even for the most complicated jobs for higher productivity
  • Automation ready
  • Very high uptime
  • SIP TechnologyTM

    Push-to-Scan®:

    • A ‘no set-up’ process
    • Top AOI results with minimal effort or training
    • The easiest, user-friendly interface (GUI)
    • Full ‘Step and Repeat’ functions

    Visual Intelligence:

    Using SIP Technology, Ultra Discovery VM introduces Orbotech’s detection paradigm to the world of fine-line FC-BGA, PBGA/CSP and COF production. With the Visual Intelligence Detection Engine – now dedicated for IC substrate applications - manufacturers no longer have to choose between detection and false calls or waste time on non-critical defects. For the first time in AOI, detect all you want, and only what you want.

    Ultra Discovery VM is equipped with a super-fast optical head, which together with its dedicated IC substrate panel understanding, delivers exceptionally high throughput, superior detection and low false call rates. The optical head is specially designed for inspection of the finest lines down to 10µm. The customized professional lens, featuring unique wide angle illumination, delivers very clear images essential for capturing the finest defects.

    Visual Intelligence:

    • Full panel understanding, context-based detection engine
    • Equipped with ultra-fast sensors and powerful data processing for maximum inspection speed

       

 

1 24,906.67 Regensburg, Bavaria
225675
Other  

Other  

MAG 075.222.A.001 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

1   Warstein, North Rhine-Westphalia
192280
Over Head Transport System (OHT) SRC320 
Over Head Transport System (OHT) SRC320 

List all items of this typeSemiconductor Facilities Equipment - Other

in Semiconductor Manufacturing Facilities Equipment

Over Head Transport System (OHT) SRC320:



A high quantity of SRC 320 parts.

  • SRC320 Vehicles (~50 pc.)
  • SRC320 SCPS-ZCU
  • SRC320 ICC
  • SRC320 PDU
  • SRC320 Rail parts
  • Foup Handler Stocker

 

 

Please get in touch with the Equipment Trade Category Manager to get more information or price lists.

 

1   Dresden, Saxony
244285
Oxford Instruments  

Oxford Instruments  

OPAL 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

OXFORD INSTRUMENTS, OPAL, sn: 94-220255, RF/Plasma oxide deposition tool:

OXFORD INSTRUMENTS, OPAL, sn: 94-220255, RF/Plasma oxide deposition tool

1   Malta, New York
239542
P & P TECH SDN BHD  

P & P TECH SDN BHD  

Lab Handler 

List all items of this typeAutomatic Test Equipment - Other

in Automatic Test Equipment

1   Regensburg, Bavaria
237873
P&P TECH  

P&P TECH  

PP216 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

1   Regensburg, Bavaria
249406
Paper Machine and associated equipment 
Paper Machine and associated equipment 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

Paper Machine and associated equipment:

Bids accepted through May 31, 2024 - DuPont asset# 26479913

Paper machine and all associated equipment located at the Herty Advanced Materials Development Center, 110 Brampton Road, Savannah, GA 31408.

Manufactured by Sandy Hill in 1989.

Model - Delta Former

Installed in 1990 and ran 5 days per week - good condition - working.

See attachment for detailed offer.

Included in this equipment offer:

Forming Section 1 ea

Wet Press Section - 1 ea

Dryer Section (12 Steam Drums) - 1 ea

Canopy over Dryer Section - 1 ea

Reel Winder - 1 ea

Electric Hoist with Manual Trolley & 30' beam - 1 ea

Honeywell ProLine Scanner - 1 ea

Spreader Beam for lifting rolls - 1 ea

Exhaust Blowers for Dryer Section - 2 ea

Cleaners - 4 ea

Water Filter and Magnet - 1 ea

Various pump sizes - 13 ea

Vacuum pumps - 2 ea.

1   N* Richmond, Virginia
235096
Plastifer  

Plastifer  

VSB25 

List all items of this typeBlowers - Other

in Blowers

Motor + Soplante:

Motor + soplante

Marca Pastifer tipo VSB25

Carcasa de Polipropileno

0,55kw 4 polos

1   Tarragona, Catalonia
240588
POWATEC  

POWATEC  

Wafer Mounter 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1   Villach, Carinthia
245222
Prometrix  

Prometrix  

UV1080-01 

List all items of this typeWafer Manufacturing Metrology Equipment - Other

in Wafer Manufacturing Metrology Equipment

UV1080-01:

KLA-Tencor - Tool in production

- Measures Film Thickness, Refractive Index (RI) and Extinction Coefficient of Single and Multi-Layer Thin Film Stacks Simultaneously without Referencing

1   Villach, Carinthia
236077
Prominent  

Prominent  

BPV-UDN10 PVT 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE BPV-UDN10 PVT 0.5-10 bar ProMinent Sigma:

PRESSURE SAFETY VALVES

BPV-UDN10 PVT

0.5-10 bar

ProMinent Sigma

2   Tarragona, Catalonia
236075
Prominent  

Prominent  

BPV-UDN25 PPE 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE BPV-UDN25 PPE 1-10 bar ProMinent Sigma:

PRESSURE SAFETY VALVE

BPV-UDN25 PPE

1-10 bar

ProMinent Sigma

5   Tarragona, Catalonia
236076
Prominent  

Prominent  

BPV-UDN25 PVT 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE BPV-UDN25 PVT 0.5-10 bar ProMinent Sigma:

PRESSURE SAFETY VALVE

BPV-UDN25 PVT

0.5-10 bar

ProMinent Sigma

2   Tarragona, Catalonia
236074
Prominent  

Prominent  

DHV-S-DL-D6-12-PPH 

List all items of this typePlant Equipment - Other

in Gas Plant Equipment

PRESSURE SAFETY VALVE DHV-S-DL-D6-12-PPH 1-10 bar ProMinent Sigma:

PRESSURE SAFETY VALVES

DHV-S-DL-D6-12-PPH

1-10 bar

ProMinent Sigma

7   Tarragona, Catalonia
235115
Prominent  

Prominent  

DLTA0280PVT2000U1C130ENO 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent DLTA0280PVT2000U1C130E NO:

BOMBA DOSIFICADORA

ProMinent Sigma

DLTA0280PVT2000U1C130ENO

75 L/h; 0-2 bar; 2/6 tubing 1/2inch 10081006277

1   Tarragona, Catalonia
235116
Prominent  

Prominent  

DLTA0450PVT2000UIC030ENO 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent DLTA0450PVT2000UIC030E NO:

BOMBA DOSIFICADORA

ProMinent Sigma

DLTA0450PVT2000UIC030ENO

49 L/h; 0-4 bar; 2/6 tubing 1/2inch 10081006279

1   Tarragona, Catalonia
235112
Prominent  

Prominent  

DLTA073ONPE0000U1C130ENO 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent DLTA073ONPE0000U1C130E NO:

BOMBA DOSIFICADORA

ProMinent Sigma

DLTA073ONPE0000U1C130ENO

29,2 L/h; 0-7 bar; 2/6 tubing 1/2inch 10081006275

1   Tarragona, Catalonia
235117
Prominent  

Prominent  

GMXA0708PPE20001C1300EN01EN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent GMXA0708PPE20001C1300E N01EN:

BOMBA DOSIFICADORA

ProMinent Sigma

GMXA0708PPE20001C1300EN01EN

7,6 L/h; 0-7 bar; 2/6 tubing 1/2inch 10081006281

1   Tarragona, Catalonia
235118
Prominent  

Prominent  

GMXA0715PPE20001C1300EN01EN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent GMXA0715PPE20001C1300E N01EN:

BOMBA DOSIFICADORA

ProMinent Sigma

GMXA0715PPE20001C1300EN01EN

14,5 L/h; 0-7 bar; 2/6 tubing 1/2inch 10081006276

1   Tarragona, Catalonia
235119
Prominent  

Prominent  

GMXA0715PPE20001C1300EN01EN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora ProMinent GMXA0715PPE20001C1300E N01EN:

BOMBA DOSIFICADORA

ProMinent Sigma

GMXA0715PPE20001C1300EN01EN

14,5 L/h; 0-7 bar; 2/6 tubing 1/2inch 10081006276

1   Tarragona, Catalonia
235106
Prominent  

Prominent  

MDLA100600TTT0001C030ENO 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora Prominent MDLA100600TTT0001C030ENO:

BOMBA DOSIFICADORA

ProMinent Sigma

MDLA100600TTT0001C030ENO

582m L/h; 0-10 bar; 2/6 tubing 1/2 inch 10081006288

1   Tarragona, Catalonia
235105
Prominent  

Prominent  

S1CBH0742PVTS070U181OSOEN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora Prominent S1CBH0742PVTS070U181OS:

BOMBA DOSIFICADORA

ProMinent Sigma

S1CBH0742PVTS070U181OSOEN

52 L/h; 0-7 bar; 1/2 inch 10081006287

1   Tarragona, Catalonia
235107
Prominent  

Prominent  

S3CBH120145PVTS000U18510SOEN 

List all items of this typePumps - Other

in General Purpose Liquid Pumps

Bomba Dosificadora Prominent S3CBH120145PVTS000U185 10SOEN:

BOMBA DOSIFICADORA

ProMinent Sigma

S3CBH120145PVTS000U18510SOEN

192 L/h; 0-10 bar; 1 inch 10081006274

1   Tarragona, Catalonia
238643
PVA TePla  

PVA TePla  

TWIN 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

1   Villach, Carinthia
241408
Ramgraber  

Ramgraber  

Inline-Sprühätzanlage 

List all items of this typeAcid Wet Stations

in Wet Processing Equipment

Spray acid etching system (2008):

Complete spray acid etching unit manufactured by Ramgraber Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

 

If there is a seriously buying interest, the technical specifications could be send.

1 32,592.27 Warstein, North Rhine-Westphalia
246568
Ramgraber  

Ramgraber  

see attached type lable 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Single-Wafer Spin Etch machine (2008):

Complete single-wafer spin etching unit manufactured by Ramgraber Company (Germany). The system is still in use, therefore there is the possibility for a sale on inspection.

Capable of processing up to 6" wafers, delivery of additional accessories is possible.

1   Warstein, North Rhine-Westphalia
239644
Ramgraber  

Ramgraber  

SST 

List all items of this typeSolvent Wet Stations

in Wet Processing Equipment

Ramgraber SST:

Used Configuration:

Tank 1: EKC

Tank 2: P1331

Tank 3 and 4: DMF

Tank 5: IPA

Known errors:

Filter from tank 4 is leaking

Heater 1 from tank 4 is broken

Heater 3 from tank 4 is broken

1   F* Villach, Carinthia
218857
Rapiscan Systems  

Rapiscan Systems  

620XR-W 

List all items of this typeSemiconductor Facilities Equipment - Other

in Semiconductor Manufacturing Facilities Equipment

Security X-ray scanning machine:
Rapiscan® Systems Hand luggage X-ray solutions for the most difficult baggage and parcel inspection.
Security solutions for automated detection for higher throughput at checkpoints. Machine come with automated short conveyor. 
1   Kulim, Kedah
249037
RCS  

RCS  

300PS-M100 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

RCS 300PS-M100, SN: B141PS-001, 300 mm:

Semi Auto Pad Shave Tool RSV Automation PDS01

1   East Fishkill, New York
248205
RICOR  

RICOR  

FOUP Purge Station MK-2 

List all items of this typeClean Room Equipment - Other

in Clean Room Equipment

RICOR, FOUP Purge Station MK-2, 300mm, S/N 101919:

RICOR, FOUP Purge Station MK-2, 300mm, S/N 101919

1   Singapore
239568
RMU 
RMU 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

RMU:

RMU TRANSFORMER 66

1   Malacca, Malacca
204935
Rorze  

Rorze  

RSR160 

List all items of this typeWafer Production Equipment - Other

in Production Equipment

Rorze, RSR160, Reticle Handler:

Rorze, RSR160, Reticle Handler

 

1   Malta, New York
241426
Rudolph Research  

Rudolph Research  

Scale 

List all items of this typeTest & Measurement - Other

in Test & Measurement Equipment

1   Regensburg, Bavaria
244540
Rudolph Technologies  

Rudolph Technologies  

MP200 

List all items of this typeFilm Thickness Testers - Other

in Film Thickness Testers

MP200 double path tool :

non copper tool; double path tool delay stage; 6 inch chuck

1   Villach, Carinthia
238960
Rudolph Technologies  

Rudolph Technologies  

MPC 200XCu 

List all items of this typeFilm Thickness Testers - Other

in Film Thickness Testers

MP200:

Rudolph MetaPulse 200

non copper / double delay stage with 5" Chuck. 

2 Loadports for 6/8 inch

Laser is broken!

1   Villach, Carinthia
245895
RVSI, ws3500/3800 upgrade, s/n: ws-3015, 32a4qa 
RVSI, ws3500/3800 upgrade, s/n: ws-3015, 32a4qa 

List all items of this typeOther Items

in Microscopes

RVSI, ws3500/3800 upgrade, s/n: ws-3015, 32a4qa:

RVSI, ws3500/3800 upgrade, s/n: ws-3015, 32a4qa

RVSI VISUAL DEFECT SCANNER

1   Burlington, Vermont
245894
RVSI, ws3500/3800 upgrade, s/n: ws-3016, 32a4ra 
RVSI, ws3500/3800 upgrade, s/n: ws-3016, 32a4ra 

List all items of this typeOther Items

in Microscopes

RVSI, ws3500/3800 upgrade, s/n: ws-3016, 32a4ra:

RVSI, ws3500/3800 upgrade, s/n: ws-3016, 32a4ra

inspection scanner

1   Burlington, Vermont
240476
Sartorius  

Sartorius  

LA310S 

List all items of this typeScientific and Laboratory Equipment - Other

in Pharmaceutical Laboratory and Scientific Equipment

SARTORIUS, LA310S, s/n: 13110486:

SARTORIUS, LA310S, s/n: 13110486

1   Dresden, Saxony
235316
Scarp VOSTCH Salt Spray Chamber VSC450 
Scarp VOSTCH Salt Spray Chamber VSC450 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Scarp VOSTCH Salt Spray Chamber VSC450:

Not functioning

1   Regensburg, Bavaria
216407
Schmid  

Schmid  

Combi Line 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

1 54,320.45 Regensburg, Bavaria
239375
Scrap 1x ??? NX-7001 
Scrap 1x ??? NX-7001 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

Scrap 1x ??? NX-7001:
AssetSNo.Asset name
100076090??? NX-7001

withdraw parts from scrapped machine, currently worn out

1   Regensburg, Bavaria
235315
Scrap 3x mini buffers (10011500,10011501,10011502) 
Scrap 3x mini buffers (10011500,10011501,10011502) 

List all items of this typeAssembly / Hybrid - Other

in Semiconductor / Hybrid Assembly Equipment

Scrap 3x mini buffers (10011500,10011501,10011502):

These 3 mini buffers (10011500,10011501,10011502) will be scrap because

1: linked machines were already scrapped 

2: function isn't complete

3   F* Regensburg, Bavaria
245537
scrap 4x inker machine 
scrap 4x inker machine 

List all items of this typeSemiconductor Manufacturing Equipment - Other

in Semiconductor Manufacturing Equipment

scrap 4x inker machine:

Die Sorter Machine Release to instead inker machine, scrap 4x  ink-02/07/08/09.

AssetSNo.Asset name
100067480Wafer Inker Machine
100067481freight: Wafer Inker Machine
100080030Wafer Inker Machine
100080031?????
100088810Inker/2001X
100088811freight
100088812?????
100088820Inker/2001CX
100088821freight
4   Regensburg, Bavaria
Displaying 201-300 of 403  Page  Show First Page Show Previous Page  Show Next Page Show Last Page

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.