About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register View prices in  or ...    
ALL CATEGORIES   Semiconductor Mfg   View   Search-by-Specs   
View All Listings Under

Wafer Fabrication Equipment


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group Listings into sub-categories under Wafer Fabrication EquipmentGroup Listings into sub-categories under Wafer Fabrication Equipment

List all 98 product types under Wafer Fabrication EquipmentList all 98 product types under Wafer Fabrication Equipment


  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
Displaying 1-100 of 216  Page  No Previous Page  Show Next Page Show Last Page
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
257348
AMAT  

AMAT  

DXZ/CXZ Chamber 

List all items of this typeCluster PECVD Tools

in Production Tools

3 DXZ/CXZ Chambers for Centura/P5000:

3 DXZ/CXZ Chambers for Centura/P5000
Mainframe is transport rack

 
1   N* Villach, Kärnten
256502
LAM Research Corp.  

LAM Research Corp.  

Allian 

List all items of this typeCluster Plasma Tools - Oxide

in Cluster Plasma Tools

1   N* Villach, Carinthia
254082
AMAT  

AMAT  

ACMS0XT-ASG-E 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Dresden, Saxony
252024
Applied Materials  

Applied Materials  

200mm Centura II 

List all items of this typeCluster Plasma Tools - Other

in Cluster Plasma Tools

AMAT 200mm Centura II DXZx:

Centura MF II

Software: Vita Controller

Indexer: Narrow Body / Tilt out mit Dummy Wafer Storage

Robot: HP+

Chamber:

A -> DPS+

B -> DPS+

C -> IPS

D -> ASP+

E -> Single Cooldown

F -> Orienter

1   Regensburg, Bavaria
255103
AMAT  

AMAT  

5200 Centura 1 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   Burlington, Vermont
254177
AMAT  

AMAT  

CENTURA 5200 WXZ 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   Singapore
254176
AMAT  

AMAT  

CENTURA 5200 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   Singapore
253232
AMAT  

AMAT  

CENTURA ENABLER 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT CENTURA ENABLER, 300mm, s/n: 407472:

Dry Etch, Bx-, Cx-, E1 layers, 300mm wafers, 32nm BD/SICOH Etch

1   Dresden, Saxony
239336
AMAT  

AMAT  

Centura EPI 8 inch 

List all items of this typeEpitaxial Cluster Tools

in Epitaxial Reactors

AMAT Centura EPI 8 Zoll:

The system was completely overhauled by us with external service AMAT on site at that time!; Blower controls upgraded every 3 chambers; Approximately 4 years ago the Complete Gas Cabinet was upgraded from Legaty to Universal Gas Cabinet on site with the FA. AMAT upgraded costs €0.5 million; The facility has proprietary Modorized Lift 3x;

 

 

1   Regensburg, Bavaria
256746
AMAT  

AMAT  

HDP Centura 

List all items of this typeCluster PECVD Tools

in Production Tools

AMAT Centura HDP:

Software: Win 10

OR 4000 WTM Controller

3x ENI Generator Racks

Chiller INR-498-011D

2x Remote Monitor

High Density Plasma Process

Process Chambers: A,B,C

Orienter chamber F

1   N* Regensburg, Bavaria
254385
AMAT  

AMAT  

CENTURA MCVD SYS5200T 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   Singapore
251071
Applied Materials  

Applied Materials  

Centura TPCC XE+ RP 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT Centura TPCC XE+ RP, 200 mm, sn: 331590:

AMAT Centura TPCC XE+ RP AB: Gate-ox (DPN+RTO);C:Singen Spacer

1   Singapore
254386
AMAT  

AMAT  

CENTURA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT CENTURA, 200mm, s/n: 326235:

DPS POLY ETCH

1   Singapore
255105
AMAT  

AMAT  

CENTURA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT CENTURA, 200mm, s/n: 9398:

Centura IPS Etch Tool

1   Burlington, Vermont
255106
AMAT  

AMAT  

CENTURA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT CENTURA, 200mm, s/n: 9497:

Centura IPS Etch

1   Burlington, Vermont
255104
AMAT  

AMAT  

CENTURA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT CENTURA, 200mm, s/n: 9804:

Centura IPS Etch Tool

1   Burlington, Vermont
252521
AMAT  

AMAT  

CENTURA 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT CENTURA, 200mm, s/n: 9895:

APPLIED MATERIALS DPS POLY
ETCHER

1   Singapore
255107
AMAT  

AMAT  

CENTURA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT CENTURA, 200mm, s/n: AMAT18-01:

Centura II IPS Etch Tool

1   Burlington, Vermont
255108
AMAT  

AMAT  

CENTURA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT CENTURA, 200mm, s/n: ED22:

Centura IPS Etch Tool

1   Burlington, Vermont
250578
Applied Materials  

Applied Materials  

CENTURA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   East Fishkill, New York
250579
Applied Materials  

Applied Materials  

CENTURA 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   East Fishkill, New York
255097
AMAT  

AMAT  

CENTURA 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT CENTURA, 300mm, s/n: 332448:

HDPCVD

1   Singapore
252613
AMAT  

AMAT  

Centura 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

1   East Fishkill, New York
253231
AMAT  

AMAT  

Centura 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT Centura, 300mm, s/n: 420809:

Centura ACP300mm EPI, RP System

1   Dresden, Saxony
254174
AMAT  

AMAT  

ENDURA P5500 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   Singapore
254173
AMAT  

AMAT  

ENDURA P5500 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   Singapore
257151
AMAT  

AMAT  

Endura 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT Endura, 300mm, s/n: 425649:

ALD TiAl / TiN

1   N* Malta, New York
257157
AMAT  

AMAT  

FRONTIER 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT FRONTIER, 300mm, 435695-ZG-ACHA:

CHA-SiCoNi, CHC-Frontier

1   N* Malta, New York
252341
AMAT  

AMAT  

Olympia SiN LowK 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

1   Malta, New York
251069
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

1   Singapore
254172
AMAT  

AMAT  

P5000 MARK II 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

1   Singapore
254112
AMAT  

AMAT  

Precision 5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

1   Burlington, Vermont
252766
Applied Materials  

Applied Materials  

Producer GT 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT Producer GT, 300mm, s/n: 430632-ZG-ACHA:

FRONTIER - Etch for Junctions

CHA-SiCoNi, CHB-Frontier, CHCFrontier

1   Malta, New York
257168
AMAT  

AMAT  

PRODUCER SE 

List all items of this typeAtmospheric Pressure CVD Tools

in Chemical Vapor Deposition Equipment

1   N* Dresden, Saxony
257169
AMAT  

AMAT  

PRODUCER SE 

List all items of this typeAtmospheric Pressure CVD Tools

in Chemical Vapor Deposition Equipment

1   N* Dresden, Saxony
255098
AMAT  

AMAT  

PRODUCER SE 

List all items of this typeAtmospheric Pressure CVD Tools

in Chemical Vapor Deposition Equipment

1   Dresden, Saxony
257167
AMAT  

AMAT  

PRODUCER SE 

List all items of this typeAtmospheric Pressure CVD Tools

in Chemical Vapor Deposition Equipment

1   N* Dresden, Saxony
251303
AMAT  

AMAT  

PRODUCER SE 

List all items of this typeAtmospheric Pressure CVD Tools

in Chemical Vapor Deposition Equipment

1   Singapore
253451
AMAT  

AMAT  

RE10F3ECD124 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Dresden, Saxony
253447
AMAT  

AMAT  

RE10F2ECD1201 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

AMAT RAIDER ECD310, 300mm, s/n: T239201:

RAIDER ECD310[NiPlate]

1   Dresden, Saxony
253448
AMAT  

AMAT  

RE12F2ECD1202 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Dresden, Saxony
253452
AMAT  

AMAT  

RE10F3ECD1202 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Dresden, Saxony
254258
AMAT  

AMAT  

SPECTRUM 300 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

AMAT SPECTRUM 300, 300mm, s/n: T339636:

Polyimide Rework Sink

1   Malta, New York
254118
Varian  

Varian  

E500 EHP 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

AMAT VARIAN E500 EHP, 200mm, s/n: ES193877:

ION IMPLANTATION SYSTEM

1   Singapore
254119
Varian  

Varian  

EHP 500 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

AMAT VARIAN EHP 500, 200mm, s/n: ES193496:

ION IMPLANTATION SYSTEM

1   Singapore
257175
AMAT  

AMAT  

Verity2 SEM 

List all items of this typeLithography Equipment - Other

in Lithography Equipment

1   N* Singapore
248647
Applied Materials  

Applied Materials  

Centura 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT, Centura, 200mm, S/N 302958:

AMAT, Centura, 200mm, S/N 302958

Metal Etch. No loadports included.

1   Singapore
249205
Applied Materials  

Applied Materials  

Quantum Leap II 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

AMAT, Quantum Leap II, 200mm, S/N Q615:

AMAT, Quantum Leap II, 200mm, S/N Q615

Low Energy Implanter

1   Singapore
230352
Applied Materials  

Applied Materials  

P16-072 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Ancolyzer P16-072:

3 x Dosage Additive

2 x Slipstreams with pump

2 x Dosing VMS incl. Bleed & Feed

Bulk Fill Tanks

additional doses of H2O2 und H2SO4

Scanner incl. software

 

 

 

1   Dresden, Saxony
254109
AMAT  

AMAT  

Quantum Leap II 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

Applied Material Quantum Leap II, 200mm, Q720:

Low Energy Implanter

1   Singapore
249547
ASM  

ASM  

Epsilon 3000 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

ASM Epsilon 3000, s/n: 033160, 300mm, CMD2:

300MM ASM Epsilon 3000 EPI HEX CMD2

1   East Fishkill, New York
249546
ASM  

ASM  

Epsilon 3000 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

ASM Epsilon 3000, s/n: 033240, 300mm, CMD1:

300MM ASME 3000 EPI HEX CMD1

1   East Fishkill, New York
255502
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

ASM Furnace Advance - OFENV06C:

ASM Furnace Vertical - Advance 400

2 Reactors atmospheric

 

1   Villach, Carinthia
255500
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

ASM Furnace Vertical - OFENV01D:

OFENV01D
Furnace with 2 Tubes

1x Reactor Dot. Poly Low Pressure

1x Reactor Atmosphere WET-OXID

1   Villach, Carinthia
255501
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

ASM Furnace Vertical - OFENV05C:

ASM Furnace Vertical - Advance 400

2 Reactor atmospheric

Robot defect and need service 

1   Villach, Carinthia
255503
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

ASM Furnace Vertical OFENV06D:

ASM Furnace Vertical - Advance 400

2x Reactor Dot. Poly Low Pressure

1   Villach, Carinthia
255504
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

ASM Furnace Vertical OFENV07C:

ASM Furnace Vertical 

2 Reactor atmospheric

Reactor 1 is missing heater cassette, Motor driver, Board and loader arm

 

1   Villach, Carinthia
254474
ASML  

ASML  

AT400C 

List all items of this typeI-Line Wafer Stepper

in Wafer Steppers

1   Singapore
254366
ASML  

ASML  

AT850C 

List all items of this typeI-Line Wafer Stepper

in Wafer Steppers

1   Singapore
254365
ASML  

ASML  

AT850C 

List all items of this typeI-Line Wafer Stepper

in Wafer Steppers

1   Singapore
254257
ASML  

ASML  

NXT1950i 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

1   Malta, New York
254256
ASML  

ASML  

NXT1950i 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

1   Malta, New York
254107
ASML  

ASML  

NXT1950i 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

1   Malta, New York
254111
ASML  

ASML  

XT1400E 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

1   Singapore
254110
ASML  

ASML  

XT1900Gi 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

ASML XT1900Gi, 300mm, s/n: 5884:

Immersion scanner

1   Singapore
254199
ASML  

ASML  

Yieldstar S-200B 

List all items of this typeLithography Equipment - Other

in Lithography Equipment

1   Dresden, Saxony
254254
Axcelis/Fusion  

Axcelis/Fusion  

200ACU 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   Burlington, Vermont
254377
Axcelis Technologies  

Axcelis Technologies  

INTEGRA ES 3CH / INT340 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AXCELIS INT340, 300mm, s/n: INT340029:

AXCELIS INTEGRA ES 3CH / INT340

NH3 Plasmastrip

1   Dresden, Saxony
254162
Axcelis Technologies  

Axcelis Technologies  

NV-GSD/HE 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

1   Singapore
252684
AXCELIS NV8200P 
AXCELIS NV8200P 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

AXCELIS NV8200P:

-MCINV8201

› Original Equipment Manufacturer? Axcelis

› Exact Model Type? AXCELIS MEDIUM CURRENT NV8200

› OEM Serial ID?

› Current Condition: Excellent/Very good/Good/poor/Very poor : Good. Just is the tool already cold steel. Power cut off to the tool.

› Completeness: Total/partial/Donor tool? Please list missing parts.  95 percent complete. Only few items were taken example the turbo pump controllers.

› Manufacturing date of the Equipment ?

› Date of first usage? 2006

› Technic special customized for Infineon? We don’t use water cool for the platen.

› Correct maintained? Yes.

› Any damages/deficits known? No

› Manuals existing? Yes.

› Crated: If yes…/ professional with protocol / partly / simple? Not yet crated. Tool still not dissembled.

› Any upgrades / extensions? No.

› Useable for which products? 8 inch product

› Wafer size (if applicable)? 8 inch

› Any consumables at end of lifetime? Yes. Few pars already end of lifetime as per Manufacturer.

› Procured from OEM or from second hand? Second hand.

› Any refurbishments done? No.

› Any doubts that the tool is failure free useable for the next three years? No.

› Was there a significant system failure in the last three years? No.

› Any contaminations known? No

› IFX Inventory number? 100000605

1   Kulim, Kedah
252685
AXCELIS NV8200P 
AXCELIS NV8200P 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

AXCELIS NV8200P:

MCINV8202

› Original Equipment Manufacturer? Axcelis

› Exact Model Type? AXCELIS MEDIUM CURRENT NV8200

› A pictures say more as 1.000 words. Any pictures available? Please provide as much as possible.

› Current Condition: Excellent/Very good/Good/poor/Very poor : Good. Just is the tool already cold steel. Power cut off to the tool.

› Completeness: Total/partial/Donor tool? Please list missing parts.  95 percent complete. Only few items were taken example the turbo pump controllers.

› Date of first usage? 2006

› Technic special customized for Infineon? We don’t use water cool for the platen.

› Correct maintained? Yes.

› Any damages/deficits known? No

› Manuals existing? Yes.

› Crated: If yes…/ professional with protocol / partly / simple? Not yet crated. Tool still not dissembled.

› Any upgrades / extensions? No.

› Useable for which products? 8 inch product

› Wafer size (if applicable)? 8 inch

› Any consumables at end of lifetime? Yes. Few pars already end of lifetime as per Manufacturer.

› Procured from OEM or from second hand? Second hand.

› Any refurbishments done? No.

› Any doubts that the tool is failure free useable for the next three years? No.

› Was there a significant system failure in the last three years? No.

› Any contaminations known? No

› IFX Inventory number? 100001850

1   Kulim, Kedah
256469
Axcelis/Eaton  

Axcelis/Eaton  

NV8250HT 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

Axcelis NV8250HT:
Equipment Konfiguration: 
Type:NV8250HT
Energy Config:0 - 250Kv Midcurrent
Serialnumber:908
Build Year:2002
Date of first usage:buyed new from vendor
Current Condition: Good
CE-Conformity:Yes
Location:greyroom
Voltage:208V
Cryo-Pumps:3x CTI/Edwards Onboard: OB-8
Cryo-Kompressor:CTI 9650 Low-Voltage
Turbo-Pumps:Seiko/Edwards: STP1003C, STP-301C, STP-1003C
Rough-Pumps:Edwards: without QDP40, not included
Endstation Rough-Pump:not included
Wafersize:8inch(200mm)
Clamp-Type:E-Chuck green with Non-µC Controller
Wafer-Cooling:Galden HT110 with Affinity PWC-020K-BE35CBD2 (R507) (condition unclear)
Gasbox:Modular 5-String, 4x 2,0L Size
String1:Argon Carriergas; MFC Unit-1662 2sccm BF3
String2:BF3 SDS-Low-Pressure Type VCR 1/4"; MFC Unit-1662 2sccm BF3
String3:condition unclear, Type VCR 1/4" MFC Unit-1662 2sccm BF3
String4:AsH3 SDS-Low-Pressure Type VCR 1/2"; MFC Unit-1662 2sccm BF3
String5:PH3 SDS-Low-Pressure Type VCR 1/2"; MFC Unit-1662 2sccm BF3
Beam-Profiler Type:Belt-Drive
Gear-Type's:Scan: Planetary  Tilt: Planetary
Source-Type:ELS4 IHC-Source without Vaporizer
HV-Transformer:Silicon-Oil
Light-Tower:red/yellow/green; no buzzer
Main-WorkstationSolaris SUN AXI 3HE (Special case)
Second-WorkstationSolaris SUN Sparc5
Software-Version:5.1.4.1
Network:24port 100MB Ethernet with Cisco Router-Kit
Cell-Controller:177 Type
Notes:Upper-Rack Panel missing
 Clean-Room Table missing on frontside
 all 4 wheels on oil-isolation transformer are broken
 Minor modifications on tool
 No Manual-Set/ no Spares include
 tool was used 24/7 full in production between 15 and 230kv Energy
 If required, tool can be inspected on site, but no Power
1   N* Regensburg, Bavaria
254167
Axcelis Technologies  

Axcelis Technologies  

PCU 200 

List all items of this typeLithography Equipment - Other

in Lithography Equipment

1   Singapore
254164
Axcelis Technologies  

Axcelis Technologies  

PCU 200 

List all items of this typeLithography Equipment - Other

in Lithography Equipment

1   Singapore
254163
Axcelis Technologies  

Axcelis Technologies  

PCU 200 

List all items of this typeLithography Equipment - Other

in Lithography Equipment

AXCELIS PCU 200, 200mm, s/n: PU6C289X:

PHOTOSTABILIZER

1   Singapore
254165
Axcelis Technologies  

Axcelis Technologies  

PCU 200 

List all items of this typeLithography Equipment - Other

in Lithography Equipment

1   Singapore
254166
Axcelis Technologies  

Axcelis Technologies  

PCU 200 

List all items of this typeLithography Equipment - Other

in Lithography Equipment

1   Singapore
243574
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

Axcelis, Optima MD, 300mm, s/n: 083011, IMP203:

Axcelis, Optima MD, 300mm, s/n: 083011

Medium Current Implant

1   Dresden, Saxony
243575
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

Axcelis, Optima MD, 300mm, s/n: 083015, IMP205:

Axcelis, Optima MD, 300mm, s/n: 083015

Medium Current Implant

1   Dresden, Saxony
250598
Axcelis Technologies  

Axcelis Technologies  

OPTIMA_HD 

List all items of this typeHigh Current Implanters

in Ion Implantation Equipment

1   Dresden, Saxony
250700
Canon  

Canon  

3000i4 

List all items of this typeI-Line Wafer Stepper

in Wafer Steppers

Canon FPA 3000 i4 Stepper :

Only for sale for an competetive price!

 

Configuration: left inline system;
8" wafer chuck;
Nikon Type Reticle changer; 6" Reticle

major hardware and software changes in control system: EWS type changed to HP B180, O/S changed to HP-UX 10.20, original X-Terminal replaced by a Windows PC + 17" touchscreen TFT

Hg lamp will be removed prior shipping
Coolant will be removed prior shipping
Batteries will be removed prior shipping

no UPS installed. No printer installed. No MO-drive

EOL: optical parts

 Last Time in production: 05/24

 

1   Dresden, Saxony
250701
Canon  

Canon  

3000i4 

List all items of this typeI-Line Wafer Stepper

in Wafer Steppers

Canon FPA 3000 i4 Stepper :

Configuration: left inline system;
8" wafer chuck;
Nikon Type Reticle changer; 6" Reticle

major hardware and software changes in control system: EWS type changed to HP B180, O/S changed to HP-UX 10.20, original X-Terminal replaced by a Windows PC + 17" touchscreen TFT

Hg lamp will be removed prior shipping
Coolant will be removed prior shipping
Batteries will be removed prior shipping

no UPS installed. No printer installed. No MO-drive

EOL: optical parts

 Last Time in production: 05/24

 

1   Dresden, Saxony
254198
CFM Technologies  

CFM Technologies  

FullFlow 1/99 8100 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

CFM FullFlow 1/99 8100, 200mm, s/n: Cont 1 1188:

HP & Sulfuric Ozone

1   Burlington, Vermont
254197
CFM Technologies  

CFM Technologies  

FullFlow 1/99 8100 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

CFM FullFlow 1/99 8100, 200mm, s/n: Cont 2 1188:

HP & Sulfuric Ozone

1   Burlington, Vermont
257158
CFM Technologies  

CFM Technologies  

Fullflow 7/96 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   N* Burlington, Vermont
248648
Gasonics  

Gasonics  

PEP-4800DL 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Concept Part Solutions, Inc., PEP-4800DL, 200mm, S/N M860260:

Concept Part Solutions, Inc., PEP-4800DL, 200mm, S/N M860260

No loadports included.

1   Singapore
255102
Dainippon Screen  

Dainippon Screen  

FC-3100 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

DNS FC-3100, 300mm, s/n: 630600251A:

CHEMICAL RESIST STRIP

1   Singapore
252617
DNS  

DNS  

SS-3100 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

DNS SS-3100, 300mm, s/n: 530600256A:

BRUSH CLEANER

1   East Fishkill, New York
252618
DNS  

DNS  

SS-3100 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

DNS SS-3100, 300mm, s/n: 530600273A:

WET SCRUBBER/BRUSH CLEANER

1   East Fishkill, New York
254161
DNS  

DNS  

SS-W80A-AR 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Singapore
252614
DNS  

DNS  

SU-3200 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

DNS SU-3200, 300mm, s/n: 530N00104A:

12 CHAMBER AQUASPIN

1   East Fishkill, New York
252615
DNS  

DNS  

SU-3200 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

DNS SU-3200, 300mm, s/n: 530N00131A:

FEOL CLEAN

1   East Fishkill, New York
252616
DNS  

DNS  

SU-3200 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

DNS SU-3200, 300mm, s/n: 530N00151A:

FEOL CLEAN

1   East Fishkill, New York
254252
DNS  

DNS  

SU3100 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Dresden, Saxony
254067
DNS  

DNS  

SU3100 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Malta, New York
254367
DNS  

DNS  

SU3100 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Malta, New York
251212
Eaton  

Eaton  

GSD200E/80 

List all items of this typeHigh Current Implanters

in Ion Implantation Equipment

Eaton GSD200E/80 Highcurrent:
Energy Config:0 - 80Kv Highcurrent
Serialnumber:80517
Build Year:2000
Date of first usage:begin of year 2001
Current Condition: Good
CE-Conformity:Yes
Cryo-Pumps:CTI/Edwards Onboard; OB-8 + OB-10 fully refurbed included!!
Cryo-Kompressor:CTI 9650 Low-Voltage
Turbo-Pumps:Seiko STP A2203 fully refurbed included!!
Terminal-Rough-Pump:without (Edwards Config)
Endstation Rough-Pump:without (Edwards Config)
Wafersize:200mm
Disk-Type:Si-coated Disk fully refurbed included!!
Wafer-Cooling:no Disk-Chiller included !
Gasbox:5-String, 4x Toxic-Low-Pressure
String1:PH3 SDS-Low-Pressure Type VCR 1/2"; MFC MKS-1640A; 5sccm-AsH3
String2:BF3 SDS-Low-Pressure Type VCR 1/4"; MFC MKS-1640A; 5sccm-AsH3
String3:AsH3 SDS-Low-Pressure Type VCR 1/2"; MFC MKS-1640A; 5sccm-AsH3
String4:SIF4 Low-Pressure Type VCR 1/4"; MFC MKS-1640A; 5sccm-AsH3
String5:Argon Carriergas; MFC Brooks GF120 10sccm-N2
Disk-Drive:Direct-Drive
Dose-Type:Regular
Source-Type:ELS4 IHC-Source without Vaporizer
Hghvoltage-Transformer:Oil
Light-Tower:red/yellow/green; no buzzer
Main-WorkstationSUN Solaris AXI 3HE
Second-Workstationwithout
Software-Version:6.13.5.1 (no license included)
Network:24port 100MB Ethernet with Cisco Router-Kit
Cell-Controller:V6 Type
Conditiontool run fully productive (24/7) between 15 and 80kv Energy until we switched it off on 07/2024
AvailabilityImmediately. Start of shipment at the earliest 8 weeks after receipt of payment due to decontamination, dismantling and packing of the tool. Depending on the availability of external service providers
Notes:slight corrosion spots on the frame, just a visual matter, see IMG_0810_n.jpg
 Clean-Room Table on frontside not included
 Original Lower Machine Front Panels not included (below the Table)
 Rough-Pumps not included
 Endstation Flowhood not included
 Disk-Chiller not included
 modified Plasma-Gun Power-Supply installed(TDK)
 Minor modifications on tool
 No Manual-Set/ no Spares
 If required, tool can be inspected on site
1   Regensburg, Bavaria
257176
AMAT  

AMAT  

EPI Centura ACP 

List all items of this typeEpitaxial Cluster Tools

in Epitaxial Reactors

EPI Centura ACP 300mm "Yu Shan":

Brand new and unused EPI Centura ACP 300 mm

4 Chambers;  Chamber code RH3, Lamp type BNA8 R3
Mainframe Configuration E4 Single, 4 Facet SC ENP BLK2, Loadport AMAT Standard 300mm, EPI Water Module LT Design.

Tool out of Project: "Yu Shan"

The original rough IFX Equipment procurement value was 10,3 M€

Will be now sold for an attractive price.

 Equipment is... 

...brand new 

...never used  

...original packaged and crated 

...located in Asia 

...complete and fully functional 

...professional stored in Warehouse

1   N* Regensburg, Bavaria
251070
FSI  

FSI  

EXCALIBUR ISR 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

1   Singapore
245290
FSI  

FSI  

Excalibur ISR 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094:

FSI, Excalibur ISR 901499-314, 200mm, S/N 0902-0123-1094

1   Singapore
Displaying 1-100 of 216  Page  No Previous Page  Show Next Page Show Last Page


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.

Items from the following manufacturers are offered under Wafer Fabrication Equipment:
Accretech, AMAT, AP&S Customized Solutions, Applied Materials, Inc., ASM, ASML, Axcelis Technologies GmbH, Axcelis Technologies, Inc., Axcelis/Eaton, Axcelis/Fusion, Canon, CFM Technologies, Dainippon Screen Mfg. Co., Ltd., DNS, Eaton, FSI, Fusion Systems Inc., Gasonics, Hitachi High-Technologies, Hitachi Kokusai Elec, InnerSense, Jusung Engineering Co., Ltd., KLA-Tencor, LAM Research Corp., Lasertec, Mattison, Mattson Technology, Nexx Systems, Nikon, Nikon, Novellus Systems, Oerlikon, Oxford Instruments, PVA TePla, Ramgraber, SEMIgear, Semitool, SEZ, SOLVISION, Speedfam, Suss MicroTec, Tel, Tokyo Electron Limited, Tokyo Electronics Limited, Varian